0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

介紹一個通過GUI方式自動生成UVM環(huán)境的工具

sanyue7758 ? 來源:驗證芯發(fā)現(xiàn) ? 2023-05-04 09:23 ? 次閱讀

介紹一個通過GUI方式自動生成UVM環(huán)境的工具,uvm_testbench_gen。

uvm_testbench_gen使用python的tkinter編寫,其主要目的在于提升UVM環(huán)境搭建效率,縮減前期驗證環(huán)境準備時間?;旧希械沫h(huán)境自動化工具,都是圍繞這個主題,比如VCS自帶帶的uvmgen,Open Tian等,文末也會給出這幾個工具的對比。

工具特性

支持搭建UMV模板代碼;

支持搭建單個UVM component或者復雜的testbencch結構;

支持建立多個agent、monitor和scoreboard;

支持建立多env結構;

支持向已有環(huán)境集成agent、monitor和scoreboard;

支持產(chǎn)生環(huán)境的說明文檔,易于閱讀;

下面對一些主要功能進行介紹。

build單個component

初始化時選擇"Single UVM Component"

給component命名,并選擇組件的類型,支持sequecne、sequence item、sequencer、driver、monitor、agent、scoreboard、env、test和interface。

產(chǎn)生代碼

d9798314-e8b5-11ed-ab56-dac502259ad0.png

interface生成

這里還支持搭建interface!三種模式:新建空的interface,user自定義interface,從文檔中導入。

d983556a-e8b5-11ed-ab56-dac502259ad0.jpg

生成的interface代碼如下:

d99ca970-e8b5-11ed-ab56-dac502259ad0.jpg

agent生成

生成agent的操作和代碼如下:

d9a652fe-e8b5-11ed-ab56-dac502259ad0.png

d9b662c0-e8b5-11ed-ab56-dac502259ad0.png

build environment

初始化時選擇"Single & Multi Env VIP";

選擇GUI方式產(chǎn)生,或者從文檔中導入;

填寫環(huán)境配置,并產(chǎn)生代碼

工具特性

文中也給出幾個工具的對比如下:

d9d64f90-e8b5-11ed-ab56-dac502259ad0.png





審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • UVM
    UVM
    +關注

    關注

    0

    文章

    181

    瀏覽量

    19112
  • VCS
    VCS
    +關注

    關注

    0

    文章

    78

    瀏覽量

    9564
  • GUI
    GUI
    +關注

    關注

    3

    文章

    632

    瀏覽量

    39440

原文標題:uvm_testbench_gen | 介紹一個UVM環(huán)境自動生成工具

文章出處:【微信號:處芯積律,微信公眾號:處芯積律】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    使用GUI Guider工具開發(fā)嵌入式GUI應用(2)

    GUI Guider本質上是方便嵌入式開發(fā)者基于LVGL開發(fā)GUI應用的源碼生成工具,其作
    的頭像 發(fā)表于 08-16 14:36 ?3228次閱讀
    使用<b class='flag-5'>GUI</b> Guider<b class='flag-5'>工具</b>開發(fā)嵌入式<b class='flag-5'>GUI</b>應用(2)

    IC驗證之UVM常用宏匯總分析(四)

    OVM中過時的用法,使用純凈的UVM環(huán)境:`define UVM_NO_DEPRECATED除了上述通用的宏外,針對不同的仿真工具需要定義不同的宏:QUESTA、VCS、INCA分別對
    發(fā)表于 12-02 15:24

    什么是代碼自動生成工具

    MCU代碼自動生成工具介紹文檔編輯原標題:GoKit3二次開發(fā)-代碼自動生成
    發(fā)表于 11-03 06:04

    什么是uvmuvm的特點有哪些呢

    大家好,我是哥,上章內容我們介紹什么是uvm?uvm的特點以及uvm為用戶提供了哪些資源?本章內容我們來看
    發(fā)表于 02-14 06:46

    UVM sequence分層有哪幾種方式

    驗證環(huán)境需要對數(shù)據(jù)進行分層。例如,將32比特的寄存器讀寫封裝成數(shù)據(jù)讀寫和狀態(tài)讀寫等實際業(yè)務操作等或者對底層sequence進行些隨機控制等。實現(xiàn)這種分層可以有兩種方式:1、Sequence
    發(fā)表于 04-11 16:37

    如何構建UVM寄存器模型并將寄存器模型集成到驗證環(huán)境

    ),通常也叫寄存器模型,顧名思義就是對寄存器這個部件的建模。本文要介紹的內容,包括對UVM寄存器模型的概述,如何構建寄存器模型,以及如何將寄存器模型集成到驗證環(huán)境中。篇幅原因,將在下
    發(fā)表于 09-23 14:29

    種基于UVM的混合信號驗證環(huán)境

    種基于UVM的混合信號驗證環(huán)境_耿睿
    發(fā)表于 01-07 21:39 ?1次下載

    基于UVM的代碼生成器的開發(fā)設計

    毋庸置疑,UVM大大提高了我們開發(fā)驗證平臺的效率。但同時,熟練掌握UVM搭建驗證平臺也并不是件容易的事情。同時由于不同驗證工程師搭建環(huán)境的風格不太
    發(fā)表于 09-15 17:18 ?22次下載
    基于<b class='flag-5'>UVM</b>的代碼<b class='flag-5'>生成</b>器的開發(fā)設計

    c語言代碼自動生成工具,MCU代碼自動生成工具介紹

    MCU代碼自動生成工具介紹文檔編輯原標題:GoKit3二次開發(fā)-代碼自動生成
    發(fā)表于 10-28 17:36 ?8次下載
    c語言代碼<b class='flag-5'>自動</b><b class='flag-5'>生成</b><b class='flag-5'>工具</b>,MCU代碼<b class='flag-5'>自動</b><b class='flag-5'>生成</b><b class='flag-5'>工具</b><b class='flag-5'>介紹</b>

    通過案例教你玩轉MCU代碼生成工具(基于STM32)

    通過案例教你玩轉MCU代碼生成工具(基于STM32)
    發(fā)表于 11-18 17:36 ?12次下載
    <b class='flag-5'>通過</b><b class='flag-5'>一</b><b class='flag-5'>個</b>案例教你玩轉MCU代碼<b class='flag-5'>生成</b><b class='flag-5'>工具</b>(基于STM32)

    GUI-Guider生成工程移植MCU

    GUI Guider 是恩智浦為 LVGL 開發(fā)了上位機GUI 設計工具,可以通過拖放控件的
    發(fā)表于 02-22 16:18 ?2次下載

    個性化地定制自己的uvm代碼生成器模板和腳本

    使用uvm代碼生成器創(chuàng)建基本的uvm驗證環(huán)境框架,然后丟棄代碼生成器模板并擴展和維護生成出來的代
    的頭像 發(fā)表于 05-14 16:51 ?1894次閱讀
    個性化地定制自己的<b class='flag-5'>uvm</b>代碼<b class='flag-5'>生成</b>器模板和腳本

    Easier UVM Code Generator Part 4:生成層次化的驗證環(huán)境

    本文使用Easier UVM Code Generator生成包含多個agent和interface的uvm驗證環(huán)境。
    的頭像 發(fā)表于 06-06 09:13 ?1039次閱讀

    UVM里的6常見參數(shù)介紹分析

    UVM預先定義了六詳細程度; UVM_NONE到UVM_DEBUG。這些級別只不過是整數(shù)枚舉值
    的頭像 發(fā)表于 06-06 12:33 ?3966次閱讀
    <b class='flag-5'>UVM</b>里的6<b class='flag-5'>個</b>常見參數(shù)<b class='flag-5'>介紹</b>分析

    UVM環(huán)境debug的正確開啟方式

    在使用UVM搭建環(huán)境時,遇到問題時,調試方式有千千萬萬,但很有必要了解下UVM庫提供了哪些內建的調試手段,可以少走彎路,大大提升效率,而不是瘋狂加各種打印消息。
    的頭像 發(fā)表于 07-03 18:14 ?2375次閱讀