0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA實(shí)現(xiàn)PID控制算法

jf_78858299 ? 來源:FPGA之旅 ? 作者:FPGA之旅 ? 2023-05-19 16:40 ? 次閱讀

一. 簡介

相信大家對于PID控制算法,都不感到陌生了,平衡車就是靠它平衡起來的,還有飛控的平衡算法也是它,以及FOC中的閉環(huán)控制中也是用的它,它不僅簡單,而且易于理解。那么本篇文章將簡要介紹一下算法的原理,然后帶大家使用FPGA來實(shí)現(xiàn)(C語言實(shí)現(xiàn)過程特別簡單)。

二. PID算法

PID取自比例、積分、微分三個(gè)英文字母的首字母。意味著算法由這三部分組成。

1. P 比例

運(yùn)算過程為 期望值 減去 當(dāng)前值 ,然后再乘上一個(gè)p系數(shù),就得到了一個(gè)反饋值。比例的作用主要是為了讓 期望值 與 當(dāng)前值相等

2. I 積分

將誤差值不斷累加,然后再乘上一個(gè)I系數(shù),就得到了一個(gè)反饋值。 積分的作用主要是為了消去靜態(tài)誤差,但當(dāng)前值接近 期望值的時(shí)候,這個(gè)時(shí)候,比例的作用就非常小了,可能會(huì)接近于0,而且相鄰兩次的誤差值也近似為0,D微分也起不了多大作用,假如這時(shí)候系統(tǒng)外部的阻力和PD反饋值抵消了,這個(gè)時(shí)候就需要不斷的累加這個(gè)誤差值來使當(dāng)前值等于期望值

3. D 微分

當(dāng)前的誤差值 減去 上一次運(yùn)算的誤差值,然后再乘上一個(gè)d系數(shù),就得到了一個(gè)反饋值。微分的作用主要為了減少系統(tǒng)的震蕩,在系統(tǒng)變化的方向上,施加一個(gè)反方向的反饋,使系統(tǒng)朝這個(gè)方向的變化得到抑制

可以到,PID算法主要涉及到三種運(yùn)算: 加法,減法和乘法。這三種運(yùn)行在FPGA上也是很容易實(shí)現(xiàn)的。

三. FPGA實(shí)現(xiàn)

首先需要注意的是,PID的三個(gè)系數(shù)均為浮點(diǎn)數(shù),為了便于實(shí)現(xiàn),這里將浮點(diǎn)數(shù)擴(kuò)大100倍,然后取整就可以了。然后將反饋的結(jié)果縮小100倍就可以了。

1. P 比例實(shí)現(xiàn)

實(shí)現(xiàn)代碼如下,只需要兩個(gè)時(shí)鐘周期即可完成。這里通過左移來實(shí)現(xiàn)縮小100操作,實(shí)際上是縮小了102.倍,不太會(huì)影響結(jié)果。為了和 I 積分 和 D 微分 運(yùn)算周期數(shù)相同,這里打了一拍操作。

//P -------------------------------------------------
always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0)
       Kp_fb <= 1'b0;
   else if( pid_en == 1'b1)
       Kp_fb <= ( desired_value - current_value ) * Kp;
   else
       Kp_fb <= Kp_fb;
end


always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0)
       Kp_fb_reduce <= 'd0;
   else if( cal_delay_0 == 1'b1)
       Kp_fb_reduce <= (Kp_fb >>> 7) + (Kp_fb >>> 9); // /102.4
   else
       Kp_fb_reduce <= Kp_fb_reduce;
end


always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0)
       Kp_fb_reduce_d0 <= 'd0;
   else if( cal_delay_1 == 1'b1)
       Kp_fb_reduce_d0 <= Kp_fb_reduce;
   else
       Kp_fb_reduce_d0 <= Kp_fb_reduce;


end
//--------------------------------------------------------------------

代碼片段:可切換語言,無法單獨(dú)設(shè)置文字格式

2. I 積分實(shí)現(xiàn)

實(shí)現(xiàn)代碼如下,比P比例稍微輔助一點(diǎn)。這里考慮到了一個(gè)積分限幅的問題,如果積分值一直累加的話得,可能會(huì)導(dǎo)致系統(tǒng)穩(wěn)定不下來,所以這里設(shè)置為3000。
always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0)
       Ki_integral <= 'd0;
   else if( pid_en == 1'b1)
       if( Ki_integral > $signed('d3000) && ( desired_value - current_value ) > $signed('d0) )
           Ki_integral <= Ki_integral;
       else if( Ki_integral < $signed(-'d3000) && ( desired_value - current_value ) < $signed('d0) )
           Ki_integral <= Ki_integral;
       else
           Ki_integral <= Ki_integral + ( desired_value - current_value );
   else
       Ki_integral <= Ki_integral;
end


always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0 )
       Ki_fb <= 'd0;
   else if( cal_delay_1 == 1'b1 )
       Ki_fb <= Ki_integral * Ki;
   else
       Ki_fb <= Ki_fb;
end


always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0 )
       Ki_fb_reduce <= 'd0;
   else if( cal_delay_2 == 1'b1)
       Ki_fb_reduce <= (Ki_fb >>> 7) + (Ki_fb >>> 9); // /102.4
   else
       Ki_fb_reduce <= Ki_fb_reduce;
end


//-------------------------------------------------------------------

代碼片段:可切換語言,無法單獨(dú)設(shè)置文字格式

3. D微分 實(shí)現(xiàn)

D 微分操作實(shí)現(xiàn)如下,按照公式來即可
//D    ---------------------------
always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0)
       Kd_error <= 'd0;
   else if( pid_en == 1'b1)
       Kd_error <= ( desired_value - current_value );
   else
       Kd_error <= Kd_error;
end


always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0)
       Kd_fb <= 'd0;
   else if( cal_delay_0 == 1'b1)
       Kd_fb <= (Kd_error - Kd_last_error) * Kd;
   else
       Kd_fb <= Kd_fb;
end


always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0)
       Kd_last_error <= 'd0;
   else if( cal_delay_0 == 1'b1)
       Kd_last_error <= Kd_error;
   else
       Kd_last_error <= Kd_last_error;
end


always@(posedge clk or negedge rst_n)
begin
   if( rst_n == 1'b0)
       Kd_fb_reduce <= 'd0;
   else if( cal_delay_1 == 1'b1)
       Kd_fb_reduce <= (Kd_fb >>> 7) + (Kd_fb >>> 9); // /102.4
   else
       Kd_fb_reduce <= Kd_fb_reduce;
end
//--------------------------------

代碼片段:可切換語言,無法單獨(dú)設(shè)置文字格式

四. 仿真驗(yàn)證

測試代碼如下,初始化當(dāng)前值為500,然后根據(jù)期望值和PID輸出的反饋值,來調(diào)節(jié)當(dāng)前值。

always@(posedge clk or negedge rst_n) begin
       if( rst_n == 1'b0)
           current_value <= 'd500;
       else if( pid_ack == 1'b1)
           current_value <= current_value + out;
       else
           current_value <= current_value;
   end


PID_Control PID_Control_i(
   .clk            (   clk),
   .rst_n          (   rst_n),


  .pid_en          (   1'b1),
  .pid_ack         (   pid_ack),


   .desired_value  (   desired_value),
   .current_value  (   current_value),


   .Kp             (   'd10),
   .Ki             (   'd1),
   .Kd             (   'd10),
   
   .out            (   out)
);

代碼片段:可切換語言,無法單獨(dú)設(shè)置文字格式

仿真波形如下

圖片

這個(gè)是設(shè)置了D為0的情況,可以看到系統(tǒng)的震蕩

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600459
  • PID
    PID
    +關(guān)注

    關(guān)注

    35

    文章

    1467

    瀏覽量

    85081
  • 控制算法
    +關(guān)注

    關(guān)注

    4

    文章

    165

    瀏覽量

    21647
  • FOC
    FOC
    +關(guān)注

    關(guān)注

    20

    文章

    315

    瀏覽量

    42522
收藏 人收藏

    評論

    相關(guān)推薦

    基于FPGA的模糊PID控制器的設(shè)計(jì)實(shí)現(xiàn)

    本文主要詳解基于FPGA的模糊PID控制器的設(shè)計(jì)實(shí)現(xiàn),首先介紹了FPGA工作原理、基本特點(diǎn)以及FPGA
    的頭像 發(fā)表于 06-01 09:26 ?1.7w次閱讀

    PID控制算法代碼 PID控制算法的原理

    算法的原理,然后帶大家使用FPGA實(shí)現(xiàn)(C語言實(shí)現(xiàn)過程特別簡單)。 二. PID算法
    的頭像 發(fā)表于 07-20 09:23 ?2470次閱讀
    <b class='flag-5'>PID</b><b class='flag-5'>控制</b><b class='flag-5'>算法</b>代碼 <b class='flag-5'>PID</b><b class='flag-5'>控制</b><b class='flag-5'>算法</b>的原理

    基于FPGA的模糊PID控制算法的研究及實(shí)現(xiàn)

    基于FPGA的模糊PID控制算法的研究及實(shí)現(xiàn)
    發(fā)表于 03-18 14:25

    FPGA實(shí)現(xiàn)PID算法

    本帖最后由 發(fā)燒友LV 于 2014-12-29 20:13 編輯 在FPGA實(shí)現(xiàn)PID算法,面臨著小數(shù)的計(jì)算,請問大家一般是怎么處理的?
    發(fā)表于 12-03 21:59

    四軸姿態(tài)控制中的PID算法的原理和實(shí)現(xiàn)

    在自動(dòng)控制中,PID及其衍生出來的算法是應(yīng)用最廣的算法之一。各個(gè)做自動(dòng)控制的廠家基本都有會(huì)實(shí)現(xiàn)
    發(fā)表于 06-11 06:00

    什么是PID控制算法?PID控制算法C語言是如何實(shí)現(xiàn)的?

    什么是PID控制算法?PID控制算法C語言是如何實(shí)現(xiàn)
    發(fā)表于 06-29 09:24

    PID算法的代碼實(shí)現(xiàn)

    目錄一. 緒論二. 角度環(huán)串級PID原理1. PID基本算法2. 姿態(tài)角串級PID原理三. 如何用STM32實(shí)現(xiàn)角度-角速度的串級
    發(fā)表于 08-17 06:44

    基于FPGA實(shí)現(xiàn)PID控制器的研究

             介紹了一種基于FPGA 的用數(shù)字電路實(shí)現(xiàn)PID 控制器。把原來用單片機(jī)
    發(fā)表于 09-15 10:07 ?58次下載

    基于FPGA的模糊PID控制算法的研究及實(shí)現(xiàn)

    基于FPGA的模糊PID控制算法的研究及實(shí)現(xiàn)-2009。
    發(fā)表于 04-05 10:39 ?20次下載

    快速浮_定點(diǎn)PID控制FPGA的研究與實(shí)現(xiàn)

    快速浮_定點(diǎn)PID控制FPGA的研究與實(shí)現(xiàn)
    發(fā)表于 05-11 11:30 ?20次下載

    PID控制算法控制算法

    PID控制算法控制算法
    發(fā)表于 11-17 11:43 ?12次下載

    PID控制算法的C語言實(shí)現(xiàn)(完整版)

    PID控制算法的C語言實(shí)現(xiàn)PID算法原理
    發(fā)表于 11-05 15:45 ?0次下載

    溫度控制PID算法及C程序實(shí)現(xiàn)

    溫度控制PID算法j較為復(fù)雜,下面結(jié)合實(shí)際淺顯易懂的闡述一下PID控制理論,將溫度控制
    發(fā)表于 05-31 16:31 ?108次下載

    PID控制算法的C語言實(shí)現(xiàn)

    、效果展示4、積分分離的PID控制算法4.1、代碼實(shí)現(xiàn)4.2、效果圖5、工程文件下載1、PID算法
    發(fā)表于 01-13 13:39 ?45次下載
    <b class='flag-5'>PID</b><b class='flag-5'>控制</b><b class='flag-5'>算法</b>的C語言<b class='flag-5'>實(shí)現(xiàn)</b>

    兩種實(shí)現(xiàn)PID控制算法的技巧

    PID控制算法公式*
    的頭像 發(fā)表于 05-19 16:45 ?727次閱讀
    兩種<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>PID</b><b class='flag-5'>控制</b>的<b class='flag-5'>算法</b>的技巧