0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片設(shè)計(jì)流程概述

FPGA設(shè)計(jì)論壇 ? 來(lái)源:未知 ? 2023-05-22 19:30 ? 次閱讀



點(diǎn)擊上方藍(lán)字關(guān)注我們






芯片設(shè)計(jì)流程概述



芯片設(shè)計(jì)分為前端設(shè)計(jì)和后端設(shè)計(jì),前端設(shè)計(jì)(也稱邏輯設(shè)計(jì))和后端設(shè)計(jì)(也稱物理設(shè)計(jì))并沒有統(tǒng)一嚴(yán)格的界限,涉及到與工藝有關(guān)的設(shè)計(jì)就是后端設(shè)計(jì)。

1. 規(guī)格制定
芯片規(guī)格,也就像功能列表一樣,是客戶向芯片設(shè)計(jì)公司(稱為Fabless,無(wú)晶圓設(shè)計(jì)公司)提出的設(shè)計(jì)要求,包括芯片需要達(dá)到的具體功能和性能方面的要求。

2. 詳細(xì)設(shè)計(jì)
Fabless根據(jù)客戶提出的規(guī)格要求,拿出設(shè)計(jì)解決方案和具體實(shí)現(xiàn)架構(gòu),劃分模塊功能。

3. HDL編碼
使用硬件描述語(yǔ)言(VHDL,Verilog HDL,業(yè)界公司一般都是使用后者)將模塊功能以代碼來(lái)描述實(shí)現(xiàn),也就是將實(shí)際的硬件電路功能通過HDL語(yǔ)言描述出來(lái),形成RTL(寄存器傳輸級(jí))代碼。

4. 仿真驗(yàn)證
仿真驗(yàn)證就是檢驗(yàn)編碼設(shè)計(jì)的正確性,檢驗(yàn)的標(biāo)準(zhǔn)就是第一步制定的規(guī)格??丛O(shè)計(jì)是否精確地滿足了規(guī)格中的所有要求。規(guī)格是設(shè)計(jì)正確與否的黃金標(biāo)準(zhǔn),一切違反,不符合規(guī)格要求的,就需要重新修改設(shè)計(jì)和編碼。設(shè)計(jì)和仿真驗(yàn)證是反復(fù)迭代的過程,直到驗(yàn)證結(jié)果顯示完全符合規(guī)格標(biāo)準(zhǔn)。
仿真驗(yàn)證工具Synopsys的VCS,還有Cadence的NC-Verilog。

5. 邏輯綜合――Design Compiler
仿真驗(yàn)證通過,進(jìn)行邏輯綜合。邏輯綜合的結(jié)果就是把設(shè)計(jì)實(shí)現(xiàn)的HDL代碼翻譯成門級(jí)網(wǎng)表netlist。綜合需要設(shè)定約束條件,就是你希望綜合出來(lái)的電路在面積,時(shí)序等目標(biāo)參數(shù)上達(dá)到的標(biāo)準(zhǔn)。邏輯綜合需要基于特定的綜合庫(kù),不同的庫(kù)中,門電路基本標(biāo)準(zhǔn)單元(standard cell)的面積,時(shí)序參數(shù)是不一樣的。所以,選用的綜合庫(kù)不一樣,綜合出來(lái)的電路在時(shí)序,面積上是有差異的。一般來(lái)說(shuō),綜合完成后需要再次做仿真驗(yàn)證(這個(gè)也稱為后仿真,之前的稱為前仿真)。
邏輯綜合工具Synopsys的Design Compiler。

6. STA
Static Timing Analysis(STA),靜態(tài)時(shí)序分析,這也屬于驗(yàn)證范疇,它主要是在時(shí)序上對(duì)電路進(jìn)行驗(yàn)證,檢查電路是否存在建立時(shí)間(setup time)和保持時(shí)間(hold time)的違例(violation)。這個(gè)是數(shù)字電路基礎(chǔ)知識(shí),一個(gè)寄存器出現(xiàn)這兩個(gè)時(shí)序違例時(shí),是沒有辦法正確采樣數(shù)據(jù)和輸出數(shù)據(jù)的,所以以寄存器為基礎(chǔ)的數(shù)字芯片功能肯定會(huì)出現(xiàn)問題。
STA工具有Synopsys的Prime Time。

7. 形式驗(yàn)證
這也是驗(yàn)證范疇,它是從功能上(STA是時(shí)序上)對(duì)綜合后的網(wǎng)表進(jìn)行驗(yàn)證。常用的就是等價(jià)性檢查方法,以功能驗(yàn)證后的HDL設(shè)計(jì)為參考,對(duì)比綜合后的網(wǎng)表功能,他們是否在功能上存在等價(jià)性。這樣做是為了保證在邏輯綜合過程中沒有改變?cè)菻DL描述的電路功能。
形式驗(yàn)證工具有Synopsys的Formality。

前端設(shè)計(jì)的流程暫時(shí)寫到這里。從設(shè)計(jì)程度上來(lái)講,前端設(shè)計(jì)的結(jié)果就是得到了芯片的門級(jí)網(wǎng)表電路。

Backend design flow :

1. DFT
Design For Test,可測(cè)性設(shè)計(jì)。芯片內(nèi)部往往都自帶測(cè)試電路,DFT的目的就是在設(shè)計(jì)的時(shí)候就考慮將來(lái)的測(cè)試。DFT的常見方法就是,在設(shè)計(jì)中插入掃描鏈,將非掃描單元(如寄存器)變?yōu)閽呙鑶卧?。關(guān)于DFT,有些書上有詳細(xì)介紹,對(duì)照?qǐng)D片就好理解一點(diǎn)。
DFT工具Synopsys的DFT Compiler

2. 布局規(guī)劃(FloorPlan)
布局規(guī)劃就是放置芯片的宏單元模塊,在總體上確定各種功能電路的擺放位置,如IP模塊,RAM,I/O引腳等等。布局規(guī)劃能直接影響芯片最終的面積。
工具為Synopsys的Astro

3. CTS
Clock Tree Synthesis,時(shí)鐘樹綜合,簡(jiǎn)單點(diǎn)說(shuō)就是時(shí)鐘的布線。由于時(shí)鐘信號(hào)在數(shù)字芯片的全局指揮作用,它的分布應(yīng)該是對(duì)稱式的連到各個(gè)寄存器單元,從而使時(shí)鐘從同一個(gè)時(shí)鐘源到達(dá)各個(gè)寄存器時(shí),時(shí)鐘延遲差異最小。這也是為什么時(shí)鐘信號(hào)需要單獨(dú)布線的原因。
CTS工具,Synopsys的Physical Compiler

4. 布線(Place & Route)
這里的布線就是普通信號(hào)布線了,包括各種標(biāo)準(zhǔn)單元(基本邏輯門電路)之間的走線。比如我們平常聽到的0.13um工藝,或者說(shuō)90nm工藝,實(shí)際上就是這里金屬布線可以達(dá)到的最小寬度,從微觀上看就是MOS管的溝道長(zhǎng)度。
工具Synopsys的Astro

5. 寄生參數(shù)提取
由于導(dǎo)線本身存在的電阻,相鄰導(dǎo)線之間的互感,耦合電容在芯片內(nèi)部會(huì)產(chǎn)生信號(hào)噪聲,串?dāng)_和反射。這些效應(yīng)會(huì)產(chǎn)生信號(hào)完整性問題,導(dǎo)致信號(hào)電壓波動(dòng)和變化,如果嚴(yán)重就會(huì)導(dǎo)致信號(hào)失真錯(cuò)誤。提取寄生參數(shù)進(jìn)行再次的分析驗(yàn)證,分析信號(hào)完整性問題是非常重要的。
工具Synopsys的Star-RCXT

6. 版圖物理驗(yàn)證
對(duì)完成布線的物理版圖進(jìn)行功能和時(shí)序上的驗(yàn)證,驗(yàn)證項(xiàng)目很多,如LVS(Layout Vs Schematic)驗(yàn)證,簡(jiǎn)單說(shuō),就是版圖與邏輯綜合后的門級(jí)電路圖的對(duì)比驗(yàn)證;DRC(Design Rule Checking):設(shè)計(jì)規(guī)則檢查,檢查連線間距,連線寬度等是否滿足工藝要求, ERC(Electrical Rule Checking):電氣規(guī)則檢查,檢查短路和開路等電氣 規(guī)則違例;等等。
工具為Synopsys的Hercules

實(shí)際的后端流程還包括電路功耗分析,以及隨著制造工藝不斷進(jìn)步產(chǎn)生的DFM可制造性設(shè)計(jì))問題,在此不說(shuō)了。

物理版圖驗(yàn)證完成也就是整個(gè)芯片設(shè)計(jì)階段完成,下面的就是芯片制造了。物理版圖以GDS II的文件格式交給芯片代工廠(稱為Foundry)在晶圓硅片上做出實(shí)際的電路,再進(jìn)行封裝和測(cè)試,就得到了我們實(shí)際看見的芯片。







往期推薦
  • 至芯科技-FPGA就業(yè)培訓(xùn)來(lái)襲!你的選擇開啟你的高薪之路!5月6號(hào)北京中心開課、歡迎咨詢!

  • 淺析如何評(píng)估FPGA的資源

  • 使用FPGA設(shè)計(jì)數(shù)字電路時(shí)的綜合工具介紹



掃碼二維碼

獲取更多精彩

FPGA設(shè)計(jì)論壇


喜歡就點(diǎn)個(gè)在看再走吧







原文標(biāo)題:芯片設(shè)計(jì)流程概述

文章出處:【微信公眾號(hào):FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21540

    瀏覽量

    600538

原文標(biāo)題:芯片設(shè)計(jì)流程概述

文章出處:【微信號(hào):gh_9d70b445f494,微信公眾號(hào):FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    【「數(shù)字IC設(shè)計(jì)入門」閱讀體驗(yàn)】+ 數(shù)字IC設(shè)計(jì)流程

    :將芯片設(shè)計(jì)結(jié)果交出去進(jìn)行生產(chǎn)制造。 上述這些只是芯片設(shè)計(jì)過程中的主要節(jié)點(diǎn),細(xì)節(jié)還有很多,如果驗(yàn)證測(cè)試中不通過,就需要從數(shù)字前端設(shè)計(jì)開始找原因,之后再經(jīng)歷一次全流程測(cè)試,可見IC設(shè)計(jì)流程
    發(fā)表于 09-25 15:51

    芯片底部填充工藝流程有哪些?

    芯片底部填充工藝流程有哪些?底部填充工藝(Underfill)是一種在電子封裝過程中廣泛使用的技術(shù),主要用于增強(qiáng)倒裝芯片(FlipChip)、球柵陣列(BGA)、芯片級(jí)封裝(CSP)等
    的頭像 發(fā)表于 08-09 08:36 ?1547次閱讀
    <b class='flag-5'>芯片</b>底部填充工藝<b class='flag-5'>流程</b>有哪些?

    新思科技面向英特爾代工推出可量產(chǎn)的多裸晶芯片設(shè)計(jì)參考流程,加速芯片創(chuàng)新

    3DIC Compiler協(xié)同設(shè)計(jì)與分析解決方案結(jié)合新思科技IP,加速英特爾代工EMIB技術(shù)的異構(gòu)集成 摘要: 新思科技人工智能(AI)驅(qū)動(dòng)型多裸晶芯片(Multi-die)設(shè)計(jì)參考流程已擴(kuò)展至
    發(fā)表于 07-09 13:42 ?740次閱讀

    電源管理芯片工作原理和應(yīng)用

    電源管理芯片概述
    的頭像 發(fā)表于 07-04 14:06 ?1145次閱讀

    芯片測(cè)試的基本流程是什么

    在開始量產(chǎn)之前,芯片設(shè)計(jì)師會(huì)進(jìn)行設(shè)計(jì)驗(yàn)證,以確保芯片的設(shè)計(jì)滿足規(guī)格要求。這包括功能驗(yàn)證、時(shí)序驗(yàn)證和電氣驗(yàn)證等,確保芯片在理論設(shè)計(jì)上沒有問題。
    的頭像 發(fā)表于 05-08 16:52 ?1813次閱讀

    芯片封裝測(cè)試流程詳解,具體到每一個(gè)步驟

    經(jīng)過從設(shè)計(jì)到制造漫長(zhǎng)的流程,然而一顆芯片相當(dāng)小且薄,如果不在外施加保護(hù),會(huì)被輕易的刮傷損壞。封測(cè)有著安放、固定、密封、保護(hù)芯片和增強(qiáng)電熱性能的作用,而且還是溝通芯
    的頭像 發(fā)表于 04-29 08:11 ?2457次閱讀
    <b class='flag-5'>芯片</b>封裝測(cè)試<b class='flag-5'>流程</b>詳解,具體到每一個(gè)步驟

    一顆芯片的典型設(shè)計(jì)流程

    芯片設(shè)計(jì)流程的第一步是定義芯片的要求和規(guī)格。這包括定義您的產(chǎn)品將做什么、如何使用以及您需要滿足哪些性能指標(biāo)。一旦定義了這些要求,就可以將它們用作設(shè)計(jì)架構(gòu)和布局的輸入。
    的頭像 發(fā)表于 04-09 11:24 ?883次閱讀

    芯片制造流程及產(chǎn)生的相關(guān)缺陷和芯片缺陷檢測(cè)任務(wù)分析

    芯片生產(chǎn)制造過程中,各工藝流程環(huán)環(huán)相扣,技術(shù)復(fù)雜,材料、環(huán)境、工藝參數(shù)等因素的微變常導(dǎo)致芯片產(chǎn)生缺陷,影響產(chǎn)品良率。
    的頭像 發(fā)表于 02-23 10:38 ?1671次閱讀
    <b class='flag-5'>芯片</b>制造<b class='flag-5'>流程</b>及產(chǎn)生的相關(guān)缺陷和<b class='flag-5'>芯片</b>缺陷檢測(cè)任務(wù)分析

    芯科科技發(fā)布新版藍(lán)牙開發(fā)流程

    查看Silicon Labs(亦稱“芯科科技”)近日發(fā)布新版的藍(lán)牙開發(fā)流程(Bluetooth Developer Journey),了解更多關(guān)于低功耗藍(lán)牙、藍(lán)牙Mesh、藍(lán)牙定位服務(wù),以及電子貨架標(biāo)簽(ESL)等設(shè)計(jì)方法,我們將概述相關(guān)應(yīng)用開發(fā)
    的頭像 發(fā)表于 01-25 10:09 ?652次閱讀
    芯科科技發(fā)布新版藍(lán)牙開發(fā)<b class='flag-5'>流程</b>

    AI算法在RZ/V芯片中的移植推理流程

    之前文章已介紹了一些AI算法Demo的應(yīng)用 ,我們提供從模型訓(xùn)練到RZ/V系列嵌入式端推理應(yīng)用的完整流程。整體流程如下圖所示。
    的頭像 發(fā)表于 12-20 12:21 ?965次閱讀
    AI算法在RZ/V<b class='flag-5'>芯片</b>中的移植推理<b class='flag-5'>流程</b>

    EDA全流程的重要意義,以及國(guó)內(nèi)EDA全流程進(jìn)展

    的方式。如果一款工具能夠覆蓋特定芯片在上述流程中的設(shè)計(jì)任務(wù),那么我們就將其稱之為全流程EDA工具,或者是全流程EDA平臺(tái)。 在國(guó)產(chǎn)EDA發(fā)展初期,還有人質(zhì)疑,在EDA三大家提供全
    的頭像 發(fā)表于 12-14 00:08 ?2132次閱讀

    設(shè)計(jì)一個(gè)risc-v芯片流程是什么?

    我非常想了解如果想設(shè)計(jì)一個(gè)類似risc-v的處理器,整個(gè)開發(fā)流程是怎樣的?
    發(fā)表于 12-09 18:39

    LED外延芯片工藝流程及晶片分類

    電子發(fā)燒友網(wǎng)站提供《LED外延芯片工藝流程及晶片分類.doc》資料免費(fèi)下載
    發(fā)表于 11-03 09:42 ?0次下載
    LED外延<b class='flag-5'>芯片</b>工藝<b class='flag-5'>流程</b>及晶片分類

    晶圓術(shù)語(yǔ) 芯片ECO流程

    晶圓術(shù)語(yǔ) 1.芯片(chip、die)、器件(device)、電路(circuit)、微芯片(microchip)或條碼(bar):所有這些名詞指的是在晶圓表面占大部分面積的微芯片圖形; 劃片線
    的頭像 發(fā)表于 11-01 15:46 ?2721次閱讀
    晶圓術(shù)語(yǔ) <b class='flag-5'>芯片</b>ECO<b class='flag-5'>流程</b>

    芯片設(shè)計(jì)流程基礎(chǔ)知識(shí)入門

    芯片近些年來(lái)一直是風(fēng)口,幾乎所有有實(shí)力的上市公司都要蹭下這個(gè)熱度:自研芯片。
    的頭像 發(fā)表于 11-01 10:36 ?2678次閱讀
    <b class='flag-5'>芯片</b>設(shè)計(jì)<b class='flag-5'>流程</b>基礎(chǔ)知識(shí)入門