0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

低功耗設(shè)計詳解(UPF編碼篇)

冬至子 ? 來源:處芯積律 ? 作者:處芯積律 ? 2023-05-23 16:59 ? 次閱讀

什么是低功耗設(shè)計呢?對于后端工程 師來講,在物理實現(xiàn)方面就是引入多條電源線,并且根據(jù)設(shè)置電壓域的不同/設(shè)計制定的全局電源網(wǎng)絡(luò)的 不同,對不同PD進(jìn)行常開/關(guān)斷式的供電,為了解決實現(xiàn)多電源/多電壓域的電源網(wǎng)絡(luò)供電過程中可能會 觸發(fā)的問題,需要引入低功耗單元。

對于簡單的電壓域設(shè)計,ICer們可以手動global connect后再 detail route,也不需要注意低功耗單元擺放的合理性/孤島的設(shè)置。但是復(fù)雜的電源網(wǎng)絡(luò)的話,ICer就 需要引入Power Intent文件,例如CPF(Common Power format),UPF(Unified Power format)來實現(xiàn)復(fù)雜多電壓域設(shè)計,電壓域的關(guān)斷,甚至是動態(tài)電壓調(diào)節(jié)DVFS來控制實現(xiàn)各個電壓域電壓的變化。

實際上UPF重點是在描述定義芯片功耗架構(gòu)(比如電壓源的定義,power state的定義,低功耗單 元ISO,MTCMOS(PSW),LVL,ELS單元的連接關(guān)系,供電關(guān)系以及布局信息),UPF 文件和SDC 文件一樣,有自己的書寫風(fēng)格,實際上UPF早就被認(rèn)定為標(biāo)準(zhǔn)協(xié)議,做過低功耗設(shè)計的后端工程師應(yīng)該 知道,在innovus內(nèi)在吃入UPF3.0的時候,文件名并不叫UPF,而叫IEEE1801,下圖是UPF的使用標(biāo)準(zhǔn),可以看到為IEEE 低功耗設(shè)計標(biāo)準(zhǔn),其中包括UPF的指令的man page以及使用的注意事項。

image.png

UPF編碼基礎(chǔ)(內(nèi)容:電源網(wǎng)絡(luò)定義,多電壓域設(shè)計,低功耗模式,低功耗單元規(guī)則(rules),芯 片邊緣供電定義,IP供電描述)

image.png

image.png

image.png

實際上UPF貫穿整個中后端設(shè)計,不同的電壓域,里面的lib_cell用的對應(yīng)library庫(包含各種.lib 的總庫)也不一樣,比如1.0V用1.0V的library,2.0V用2.0的library庫。綜合中一般加ISO,ICG, LEVELSHEIFTER,而SWITCH_cell(MTCMOS四PIN的串鏈)在物理設(shè)計里面加。同時值得注意 的是,一般低功耗單元都是加在powerdomain的boundry邊緣處,但是如果有些低功耗的單元 (iso,level shifter)是加在marco的pin上面的時候,就不能放在電壓域boundry處。CLP驗證主要 驗證UPF架構(gòu)(就那些內(nèi)容)寫的對不對,通過Cadence家的conformal工具實現(xiàn),Tempus和 Voltus是Cadence的PT和PI的signoff工具。

image.png

UPF電源網(wǎng)絡(luò)定義 supply net

image.png

image.png

image.png

image.png

UPF電源網(wǎng)絡(luò)定義 supply set

image.png

image.png

image.png

image.png

圖為PD1的supply set包含內(nèi)給element供電的primary power,給iso和retention供電的常開pg net)。

到這里,小編已經(jīng)介紹完了UPF編碼的大概內(nèi)容以及UPF在后端的應(yīng)用流程,同時也介紹了 supply_net以及supply_set兩個重要的UPF create命令。下次小編將會以一個多PD的module的 UPF為例,詳細(xì)解釋并一步一步講解UPF的書寫規(guī)則,讓手寫UPF低功耗文件不再是難事,各位 ICer也能通過UPF給自身項目模塊進(jìn)行電源網(wǎng)絡(luò),電壓域供電規(guī)劃。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • CLP
    CLP
    +關(guān)注

    關(guān)注

    0

    文章

    5

    瀏覽量

    7111
  • 低功耗設(shè)計
    +關(guān)注

    關(guān)注

    0

    文章

    80

    瀏覽量

    16123
  • UPF
    UPF
    +關(guān)注

    關(guān)注

    0

    文章

    49

    瀏覽量

    13464
  • DVFS
    +關(guān)注

    關(guān)注

    1

    文章

    17

    瀏覽量

    11980
收藏 人收藏

    評論

    相關(guān)推薦

    芯片設(shè)計中的低功耗技術(shù)介紹

    功耗及其組成部分,總結(jié)降低功耗的若干種常用方案;并重點介紹如何用UPF低功耗意圖描述出來以及如何用Synopsys工具實現(xiàn)整個流程?! ∧壳俺S玫?b class='flag-5'>
    發(fā)表于 07-07 11:40

    新手求助UPF低功耗設(shè)計能否在RTL仿真中實現(xiàn)呢?

    RTL設(shè)計完畢之后,如何來驗證設(shè)計的正確性?UPF低功耗設(shè)計能否在RTL仿真中實現(xiàn)呢?
    發(fā)表于 06-18 08:21

    淺析UPF功率域和域邊界

    理解UPF功率域和域邊界一、介紹 在先進(jìn)工藝技術(shù)的低功耗之爭中,統(tǒng)一功率格式(UPF)在降低動態(tài)和靜態(tài)功率方面起著核心作用。較高的流程節(jié)點絕對具有吸引力,因為在較小的die區(qū)域中可以以較低的成本
    發(fā)表于 07-26 06:23

    [UPF]低功耗(Low Power Design)and UPF介紹 精選資料分享

    低功耗(Low Power Design)and UPF介紹一、低功耗設(shè)計策略(Lower-power design strategies)1.1、動態(tài)和靜態(tài)功耗(Dynamic
    發(fā)表于 07-27 07:26

    什么是UPF呢?

    Synopsys推薦的UPF及Power Domain流程簡介上次介紹了在當(dāng)前先進(jìn)制程下低功耗設(shè)計實現(xiàn)都需要UPF技術(shù)的支持,否則在功耗驗證的時候?qū)茈y驗證并且對后續(xù)的綜合和后端實現(xiàn)
    發(fā)表于 07-28 06:15

    什么是低功耗UPF?

    什么是低功耗UPF?
    發(fā)表于 09-29 07:49

    UPF流程與電源域Power Domain詳解

    UPF流程是怎樣的?怎樣使用UPF0對RTL進(jìn)行動態(tài)/靜態(tài)功耗驗證?什么是電源域Power Domain?如何對電源域Power Domain進(jìn)行劃分?
    發(fā)表于 10-20 07:32

    小編科普一下關(guān)于低功耗UPF與CLP的使用方法

    關(guān)于UPF 還是那句話,目前網(wǎng)上最簡單明了的還是老驢總結(jié)的《論功耗 | 一文搞懂 UPF2.1 編寫Power Intent》,每次新寫UPF 的時候可以拿此文當(dāng)索引,詳細(xì)的還是得查協(xié)
    發(fā)表于 06-28 15:41

    基于UPF低功耗數(shù)字后端設(shè)計實訓(xùn)課

    UPF低功耗設(shè)計流程中包括了前端VCS-NLP功能仿真,后端VC LP靜態(tài)驗證,以及在DC綜合和ICC2布局布線中低功耗單元的插入,例如level shifter,isolation cell
    的頭像 發(fā)表于 02-26 09:48 ?1986次閱讀

    什么是低功耗設(shè)計呢(UPF編碼

    UPF編碼基礎(chǔ)(內(nèi)容:電源網(wǎng)絡(luò)定義,多電壓域設(shè)計,低功耗模式,低功耗單元規(guī)則(rules),芯片邊緣供電定義,IP供電描述)
    發(fā)表于 05-15 14:22 ?1470次閱讀
    什么是<b class='flag-5'>低功耗</b>設(shè)計呢(<b class='flag-5'>UPF</b><b class='flag-5'>編碼</b><b class='flag-5'>篇</b>)

    低功耗技術(shù)(三)UPF的使用

    UPF是一個統(tǒng)一的,被廣泛應(yīng)用的低功耗實現(xiàn)標(biāo)準(zhǔn)。它用一些標(biāo)準(zhǔn)的語言描述用戶的低功耗設(shè)計意圖。
    發(fā)表于 06-05 17:48 ?2319次閱讀
    <b class='flag-5'>低功耗</b>技術(shù)(三)<b class='flag-5'>UPF</b>的使用

    ?低功耗SoC的PR設(shè)計淺析

    全芯片UPF低功耗設(shè)計(含DFT設(shè)計)
    的頭像 發(fā)表于 12-29 11:43 ?603次閱讀
    ?<b class='flag-5'>低功耗</b>SoC的PR設(shè)計淺析

    DVFS hierarchy低功耗A72后端實戰(zhàn)案例

    HAMMER。掌握低功耗cell的用法,選擇合適的isolation cell、level shifter等低功耗cell。
    的頭像 發(fā)表于 04-08 09:24 ?672次閱讀
    DVFS hierarchy<b class='flag-5'>低功耗</b>A72后端實戰(zhàn)案例

    Synopsys推出一款低功耗靜態(tài)規(guī)則檢查工具—VCLP

    VCLP(VC Low Power)是Synopsys提供的一款低功耗靜態(tài)規(guī)則檢查工具,它能夠幫助驗證和清潔IEEE 1801 Unified Power Format (UPF)低功耗設(shè)計意圖,并確保
    的頭像 發(fā)表于 04-15 11:25 ?1829次閱讀
    Synopsys推出一款<b class='flag-5'>低功耗</b>靜態(tài)規(guī)則檢查工具—VCLP

    LEC低功耗檢查時,這個錯誤是什么原因?

    我們知道Cadecne發(fā)明的低功耗文件是CPF,Synopsys發(fā)明的低功耗文件格式是UPF
    的頭像 發(fā)表于 04-15 11:30 ?516次閱讀
    LEC<b class='flag-5'>低功耗</b>檢查時,這個錯誤是什么原因?