0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Quartus中的邏輯鎖定與增量編譯

CHANBAEK ? 來源:硬碼農二毛哥 ? 作者:硬碼農二毛哥 ? 2023-05-25 11:22 ? 次閱讀

邏輯鎖定功能可以將FPGA中的代碼模塊在固定區(qū)域實現(xiàn),優(yōu)化時序性能,提升設計可靠性。 增量編譯功能,可以使設計更快速時序收斂,加快編譯速度。

LogicLock

使用Chip Planner創(chuàng)建邏輯鎖定區(qū)域

打開Chip Planner,點擊查看 -> 邏輯鎖區(qū)域 -> 創(chuàng)建邏輯鎖區(qū)域

wKgZomRu06uABKSOAABf0Lnq0B0210.jpg

在Chip Planner中選擇一塊區(qū)域

wKgZomRu06uAYQx7AAAVNWfktDQ903.jpg

該區(qū)域信息如下圖所示

wKgaomRu06uAeLMBAAA6ISHnBhY066.jpg

為選擇的區(qū)域設置實現(xiàn)模塊

在設計模塊中選中實則文件,右鍵選擇LogicLock Region->Assign to Existing LogicLock Region,完成邏輯鎖定。

wKgaomRu06uAI0t0AABEmBW2Z1I857.jpg

Incremental Compilation

通過對設計進行劃分,保留劃分后模塊編譯結果。 當對工程進行重新編譯時,編譯器會保留劃分模塊的編譯結果,只對修改部分進行重新編譯。

增量編譯步驟:

編譯工程

劃分模塊

設置模塊保留級別

編譯工程

對設計工程進行全編譯。

劃分模塊

選擇要進行增量編譯的模塊,在quartus13.1版本中,點擊右鍵設置Design Partition-> Set as Design Partition。

wKgZomRu06uAdr4WAAA5I2rP3Ts056.jpg

在quartus22.4版本中,Design Partition->Default

wKgaomRu06uAFLkhAAGMRBE3fBM896.jpg

設置完成后,編譯工程。

設置模塊保留級別

在quartus13.1版本中,設置Netlist Type。

wKgaomRu06uANeSlAAA444sW264990.jpg

在quartus22.4版本中,設置Preservation Level,可以設置成Synthesized和Final。

wKgaomRu06uAGtgjAAIQoBmU4bU104.jpg

當設置成Synthesized時,保留綜合網(wǎng)表,當設置成Final時,保留最終布局布線和時序特性。

完成以上步驟后,再進行編譯時,已經(jīng)劃分的模塊就可以實現(xiàn)增量編譯,當修改工程其他部分,再進行編譯時,只對沒有劃分模塊進行編譯,從而減少編譯時間。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1624

    文章

    21538

    瀏覽量

    600454
  • 布線
    +關注

    關注

    9

    文章

    757

    瀏覽量

    84258
  • 時序
    +關注

    關注

    5

    文章

    380

    瀏覽量

    37230
  • 編譯
    +關注

    關注

    0

    文章

    646

    瀏覽量

    32737
  • LogicLock
    +關注

    關注

    0

    文章

    2

    瀏覽量

    798
收藏 人收藏

    評論

    相關推薦

    淺析可提升Vivado編譯效率的增量編譯方法

    增量編譯:使用增量編譯滿足最后時刻 HDL 變動需求,僅針對已變動邏輯進行布局布線,從而可節(jié)省時間。
    的頭像 發(fā)表于 12-13 10:14 ?5206次閱讀

    什么是增量編譯?

    壓一壓就好了! Altera不能真的壓縮時間,但我們能改變“速度”!從數(shù)年前的版本開始,Quartus2軟件中就整合了一種新技術,或者說一種新的設計流程:增量編譯(Incremental Compilation)。它是ALTE
    發(fā)表于 09-19 08:22

    Quartus II 下FPGA管腳鎖定

    Quartus II 下FPGA管腳鎖定在新建工程、編輯文件、編譯、排錯完成后就進入管腳鎖定以及電平設置階段。這里還是以一位全加器為例介紹管腳鎖定
    發(fā)表于 07-30 15:09

    介紹一種設計鎖定增量編譯方法

    增量實現(xiàn)由哪幾個流程構成?增量實現(xiàn)流程有哪幾種模式?怎么證明增量編譯后,原始設計成功鎖定了呢?
    發(fā)表于 02-16 07:54

    基于Altera系列器件的邏輯鎖定方法學在FPGA設計的應

    Altera 公司出品系列器件所用設計軟件――Quartus®II,提供了一種其獨有的優(yōu)化方法:邏輯鎖定(LogicLock)。本文介紹了一種在實際工程應用
    發(fā)表于 09-03 08:39 ?11次下載

    LogicLock邏輯鎖定簡介

    LogicLock邏輯鎖定簡介 本節(jié)介紹Quartus的一個工具LogicLock,會在后面的實例里面介紹LogicLock的具體使用。 邏輯
    發(fā)表于 02-08 14:39 ?2133次閱讀

    Vivado Design Suite 2015.3新增量編譯功能介紹

    了解Vivado實現(xiàn)2015.3的新增量編譯功能,包括更好地處理物理優(yōu)化和自動增量編譯流程。
    的頭像 發(fā)表于 11-20 06:56 ?2778次閱讀

    Vivado 2015.3的新增量編譯功能介紹

    了解Vivado實現(xiàn)2015.3的新增量編譯功能,包括更好地處理物理優(yōu)化和自動增量編譯流程。
    的頭像 發(fā)表于 11-29 06:32 ?3580次閱讀

    Vivado 2015.3的新增量編譯功能

    了解Vivado實現(xiàn)2015.3的新增量編譯功能,包括更好地處理物理優(yōu)化和自動增量編譯流程。
    的頭像 發(fā)表于 11-30 19:24 ?4445次閱讀

    講述增量編譯方法,提高Vivado編譯效率

    當RTL代碼修改較少時,使用增量編譯功能可以提高工程的編譯速度,Incremental Compile增量編譯是Vivado提供的一項高階功
    的頭像 發(fā)表于 01-22 17:27 ?9893次閱讀
    講述<b class='flag-5'>增量</b><b class='flag-5'>編譯</b>方法,提高Vivado<b class='flag-5'>編譯</b>效率

    如何在Vivado實現(xiàn)邏輯鎖定增量編譯工程實例說明

    本文針對Vivado實現(xiàn)的邏輯鎖定增量編譯進行的工程實例介紹,文中有對應工程的下載地址。友情提示:(1)
    的頭像 發(fā)表于 07-06 10:32 ?7012次閱讀
    如何在Vivado<b class='flag-5'>中</b>實現(xiàn)<b class='flag-5'>邏輯</b><b class='flag-5'>鎖定</b>和<b class='flag-5'>增量</b><b class='flag-5'>編譯</b>工程實例說明

    Synplify和Quartus邏輯鎖設計流程

    為了最大限度地利用Quartus?II設計軟件LogicLockTM的增量設計功能,可以將新設計劃分為Verilog Quartus映射(.vqm)文件的層次結構。這種層次結構允許設計者更好地控制
    發(fā)表于 01-15 14:38 ?11次下載
    Synplify和<b class='flag-5'>Quartus</b>Ⅱ<b class='flag-5'>邏輯</b>鎖設計流程

    淺析Vivado增量編譯與設計鎖定方法與驗證

    所謂增量實現(xiàn),更嚴格地講是增量布局和增量布線。它是在設計改動較小的情形下參考原始設計的布局、布線結果,將其中未改動的模塊、引腳和網(wǎng)線等直接復用,而對發(fā)生改變的部分重新布局、布線。
    的頭像 發(fā)表于 04-14 12:01 ?2751次閱讀
    淺析Vivado<b class='flag-5'>中</b><b class='flag-5'>增量</b><b class='flag-5'>編譯</b>與設計<b class='flag-5'>鎖定</b>方法與驗證

    Vivadoz增量編譯與設計鎖定

    關于增量編譯所謂增量實現(xiàn),更嚴格地講是增量布局和增量布線。它是在設計改動較小的情形下參考原始設計的布局、布線結果,將其中未改動的模塊、引腳和
    發(fā)表于 12-20 19:11 ?6次下載
    Vivadoz<b class='flag-5'>中</b><b class='flag-5'>增量</b><b class='flag-5'>編譯</b>與設計<b class='flag-5'>鎖定</b>

    Vivado設計鎖定增量編譯方法簡析

    增量實現(xiàn)由兩個流程構成:原始流程和增量流程,如圖所示。其中,原始流程提供網(wǎng)表。
    的頭像 發(fā)表于 10-10 14:16 ?1556次閱讀