0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Verilog仿真激勵舉例

冬至子 ? 來源:數(shù)字IC與好好生活的兩居室 ? 作者:除夕之夜啊 ? 2023-06-02 11:35 ? 次閱讀

Verilog 代碼設計完成后,還需要進行重要的步驟,即邏輯功能仿真。仿真激勵文件稱之為 testbench,放在各設計模塊的頂層,以便對模塊進行系統(tǒng)性的例化調用進行仿真。

毫不夸張的說,對于稍微復雜的 Verilog 設計,如果不進行仿真,即便是經(jīng)驗豐富的老手,99.9999% 以上的設計都不會正常的工作。不能說仿真比設計更加的重要,但是一般來說,仿真花費的時間會比設計花費的時間要多。有時候,考慮到各種應用場景,testbench 的編寫也會比 Verilog 設計更加的復雜。所以,數(shù)字電路行業(yè)會具體劃分設計工程師和驗證工程師。

下面,對 testbench 做一個簡單的學習。

testbench 結構劃分

testbench 一般結構如下。

圖片

其實 testbench 最基本的結構包括信號聲明、激勵和模塊例化。

根據(jù)設計的復雜度,需要引入時鐘和復位部分。當然更為復雜的設計,激勵部分也會更加復雜。根據(jù)自己的驗證需求,選擇是否需要自校驗和停止仿真部分。

當然,復位和時鐘產生部分,也可以看做激勵,所以它們都可以在一個語句塊中實現(xiàn)。也可以拿自校驗的結果,作為結束仿真的條件。

實際仿真時,可以根據(jù)自己的個人習慣來編寫 testbench,這里只是做一份個人的總結。

testbench 仿真舉例

前面的章節(jié)中,已經(jīng)寫過很多的 testbench。其實它們的結構也都大致相同。下面,列舉一個數(shù)據(jù)拼接的簡單例子,對 testbench 再做一個具體的分析。

◆ 一個 2bit 數(shù)據(jù)拼接成 8bit 數(shù)據(jù)的功能模塊描述如下。

module  data_consolidation
    (
        input           clk ,
        input           rstn ,
        input [1:0]     din ,          //data in
        input           din_en ,
        output [7:0]    dout ,
        output          dout_en        //data out
     );


   // data shift and counter
    reg [7:0]            data_r ;
    reg [1:0]            state_cnt ;
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            state_cnt     <= 'b0 ;
            data_r        <= 'b0 ;
        end
        else if (din_en) begin
            state_cnt     <= state_cnt + 1'b1 ;    //數(shù)據(jù)計數(shù)
            data_r        <= {data_r[5:0], din} ;  //數(shù)據(jù)拼接
        end
        else begin
            state_cnt <= 'b0 ;
        end
    end
    assign dout          = data_r ;


    // data output en
    reg                  dout_en_r ;
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            dout_en_r       <= 'b0 ;
        end
        //計數(shù)為 3 且第 4 個數(shù)據(jù)輸入時,同步輸出數(shù)據(jù)輸出使能信號
        else if (state_cnt == 2'd3 & din_en) begin 
            dout_en_r       <= 1'b1 ;
        end
        else begin
            dout_en_r       <= 1'b0 ;
        end
    end
    //這里不直接聲明dout_en為reg變量,而是用相關寄存器對其進行assign賦值
    assign dout_en       = dout_en_r;


endmodule

◆ 對應的 testbench 描述如下,增加了文件讀寫的語句。

`timescale 1ns/1ps


   //============== (1) ==================
   //signals declaration
module test ;
    reg          clk;
    reg          rstn ;
    reg [1:0]    din ;
    reg          din_en ;
    wire [7:0]   dout ;
    wire         dout_en ;


    //============== (2) ==================
    //clock generating
    real         CYCLE_200MHz = 5 ; //
    always begin
        clk = 0 ; #(CYCLE_200MHz/2) ;
        clk = 1 ; #(CYCLE_200MHz/2) ;
    end


    //============== (3) ==================
    //reset generating
    initial begin
        rstn      = 1'b0 ;
        #8 rstn      = 1'b1 ;
    end


    //============== (4) ==================
    //motivation
    int          fd_rd ;
    reg [7:0]    data_in_temp ;  //for self check
    reg [15:0]   read_temp ;     //8bit ascii data, 8bit \\n
    initial begin
        din_en    = 1'b0 ;        //(4.1)
        din       = 'b0 ;
        open_file("../tb/data_in.dat", "r", fd_rd); //(4.2)
        wait (rstn) ;    //(4.3)
        # CYCLE_200MHz ;


        //read data from file
        while (! $feof(fd_rd) ) begin  //(4.4)
            @(negedge clk) ;
            $fread(read_temp, fd_rd);
            din    = read_temp[9:8] ;
            data_in_temp = {data_in_temp[5:0], din} ;
            din_en = 1'b1 ;
        end


        //stop data
        @(posedge clk) ;  //(4.5)
        #2 din_en = 1'b0 ;
    end


    //open task
    task open_file;
        input string      file_dir_name ;
        input string      rw ;
        output int        fd ;


        fd = $fopen(file_dir_name, rw);
        if (! fd) begin
            $display("--- iii --- Failed to open file: %s", file_dir_name);
        end
        else begin
            $display("--- iii --- %s has been opened successfully.", file_dir_name);
        end
    endtask


    //============== (5) ==================
    //module instantiation
    data_consolidation    u_data_process
    (
      .clk              (clk),
      .rstn             (rstn),
      .din              (din),
      .din_en           (din_en),
      .dout             (dout),
      .dout_en          (dout_en)
     );


    //============== (6) ==================
    //auto check
    reg  [7:0]           err_cnt ;
    int                  fd_wr ;


    initial begin
        err_cnt   = 'b0 ;
        open_file("../tb/data_out.dat", "w", fd_wr);
        forever begin
            @(negedge clk) ;
            if (dout_en) begin
                $fdisplay(fd_wr, "%h", dout);
            end
        end
    end


    always @(posedge clk) begin
        #1 ;
        if (dout_en) begin
            if (data_in_temp != dout) begin
                err_cnt = err_cnt + 1'b1 ;
            end
        end
    end


    //============== (7) ==================
    //simulation finish
    always begin
        #100;
        if ($time >= 10000)  begin
            if (!err_cnt) begin
                $display("-------------------------------------");
                $display("Data process is OK!!!");
                $display("-------------------------------------");
            end
            else begin
                $display("-------------------------------------");
                $display("Error occurs in data process!!!");
                $display("-------------------------------------");
            end
            #1 ;
            $finish ;
        end
    end


endmodule // test

◆仿真結果如下。由圖可知,數(shù)據(jù)整合功能的設計符合要求。

圖片

testbench 具體分析

1)信號聲明

testbench 模塊聲明時,一般不需要聲明端口。因為激勵信號一般都在 testbench 模塊內部,沒有外部信號。

聲明的變量應該能全部對應被測試模塊的端口。當然,變量不一定要與被測試模塊端口名字一樣。但是被測試模塊輸入端對應的變量應該聲明為 reg 型,如 clk,rstn 等,輸出端對應的變量應該聲明為 wire 型,如 dout,dout_en。

2)時鐘生成

生成時鐘的方式有很多種,以下兩種生成方式可以借鑒。

initial clk = 0 ;
always #(CYCLE_200MHz/2) clk = ~clk;


initial begin
    clk = 0 ;
    forever begin
        #(CYCLE_200MHz/2) clk = ~clk;
    end
end

需要注意的是,利用取反方法產生時鐘時,一定要給 clk 寄存器賦初值。

利用參數(shù)的方法去指定時間延遲時,如果延時參數(shù)為浮點數(shù),該參數(shù)不要聲明為 parameter 類型。例如實例中變量 CYCLE_200MHz 的值為 2.5。如果其變量類型為 parameter,最后生成的時鐘周期很可能就是 4ns。當然,timescale 的精度也需要提高,單位和精度不能一樣,否則小數(shù)部分的時間延遲賦值也將不起作用。

3)復位生成

復位邏輯比較簡單,一般賦初值為 0,再經(jīng)過一段小延遲后,復位為 1 即可。

這里大多數(shù)的仿真都是用的低有效復位。

4)激勵部分

激勵部分該產生怎樣的輸入信號,是根據(jù)被測模塊的需要來設計的。

本次實例中,(4.1) 對被測模塊的輸入信號進行一個初始化,防止不確定值 X 的出現(xiàn)。

激勵數(shù)據(jù)的產生,需要從數(shù)據(jù)文件內讀入。(4.2) 處利用一個 task 去打開一個文件,只要指定文件存在,就可以得到一個不為 0 的句柄信號 fp_rd。fp_rd 指定了文件數(shù)據(jù)的起始地址。

(4.3) 的操作是為了等待復位后,系統(tǒng)有一個安全穩(wěn)定的可測試狀態(tài)。

(4.4) 開始循環(huán)讀數(shù)據(jù)、給激勵。在時鐘下降沿送出數(shù)據(jù),是為了被測試模塊能更好的在上升沿采樣數(shù)據(jù)。

利用系統(tǒng)任務 $fread ,通過句柄信號 fd_rd 將讀取的 16bit 數(shù)據(jù)變量送入到 read_temp 變量進行緩存。

輸入數(shù)據(jù)文件前幾個數(shù)據(jù)截圖如下。因為 $fread 只能讀取 2 進制文件,所以輸入文件的第一行對應的 ASCII 碼應該是 330a,所以我們想要得到文件里的數(shù)據(jù) 3,應該取變量 read_temp 的第 9 到第 8bit 位的數(shù)據(jù)。

圖片

信號 data_in_temp 是對輸入數(shù)據(jù)信號的一個緊隨的整合,后面校驗模塊會以此為參考,來判斷仿真是否正常,模塊設計是否正確。

(4.5) 選擇在時鐘上升沿延遲 2 個周期后停止輸入數(shù)據(jù),是為了被測試模塊能夠正常的采樣到最后一個數(shù)據(jù)使能信號,并對數(shù)據(jù)進行正常的整合。

當數(shù)據(jù)量相對較少時,可以利用 Verilog 中的系統(tǒng)任務 $readmemh 來按行直接讀取 16 進制數(shù)據(jù)。保持文件 data_in.dat 內數(shù)據(jù)和格式不變,則該激勵部分可以描述為:

reg [1:0]    data_mem [39:0] ;
    reg [7:0]    data_in_temp ;  //for self check
    integer      k1 ;
    initial begin
        din_en    = 1'b0 ;
        din       = 'b0 ;
        $readmemh("../tb/data_in.dat", data_mem);
        wait (rstn) ;
        # CYCLE_200MHz ;


        //read data from file
        for(k1=0; k1< 40; k1=k1+1)  begin
            @(negedge clk) ;
            din    = data_mem[k1] ;
            data_in_temp = {data_in_temp[5:0], din} ;
            din_en = 1'b1 ;
        end


        //stop data
        @(posedge clk) ;
        #2 din_en = 1'b0 ;
     end

5)模塊例化

這里利用 testbench 開始聲明的信號變量,對被測試模塊進行例化連接。

6)自校驗

如果設計比較簡單,完全可以通過輸入、輸出信號的波形來確定設計是否正確,此時可以不采用自校驗部分。如果數(shù)據(jù)很多,有時候拿肉眼觀察并不能對設計的正確性進行一個有效判定。此時加入一個自校驗模塊,會大大增加仿真的效率。

實例中,我們會在數(shù)據(jù)輸出使能 dout_en 有效時,對輸出數(shù)據(jù) dout 與參考數(shù)據(jù) read_temp(激勵部分產生)做一個對比,并將對比結果置于信號 err_cnt 中。最后就可以通過觀察 err_cnt 信號是否為 0 來直觀的對設計的正確性進行判斷。

如實例中所示,也可以將數(shù)據(jù)寫入到對應文件中,利用其他方式對兩個文件進行對比。

7)結束仿真

如果我們不加入結束仿真部分,仿真就會無休止的運行下去,波形太長有時候并不方便分析。Verilog 中提供了系統(tǒng)任務 $finish 來停止仿真。

停止仿真之前,可以將自校驗的結果,通過系統(tǒng)任務 $display 在終端進行顯示。

文件讀寫選項

用于打開文件的系統(tǒng)任務 $fopen 格式如下:

fd = $fopen("", "mode")

和 C 語言類似,打開方式的選項 "mode" 意義如下:

1.jpg

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5268

    瀏覽量

    119646
  • Verilog
    +關注

    關注

    28

    文章

    1335

    瀏覽量

    109847
  • C語言
    +關注

    關注

    180

    文章

    7581

    瀏覽量

    135552
  • ASCII碼
    +關注

    關注

    0

    文章

    43

    瀏覽量

    17284
收藏 人收藏

    評論

    相關推薦

    [9.6.1]--9-6仿真激勵Verilog數(shù)字系統(tǒng)仿真

    EDA工具Verilog激勵數(shù)字系統(tǒng)
    李開鴻
    發(fā)布于 :2022年11月12日 18:18:00

    如何對Verilog HDL的復位激勵進行測試

    (70)Verilog HDL測試激勵:復位激勵21.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 02-23 06:29

    Verilog HDL的時鐘激勵簡析

    (69)Verilog HDL測試激勵:時鐘激勵21.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 02-23 07:31

    Verilog HDL測試激勵之時鐘激勵

    (59)Verilog HDL測試激勵:時鐘激勵11.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 02-23 06:57

    Verilog HDL測試激勵之復位激勵簡介

    (77)Verilog HDL測試激勵:復位激勵31.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 02-23 07:48

    Verilog HDL測試激勵之復位激勵相關資料分享

    (60)Verilog HDL測試激勵:復位激勵11.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 02-23 06:42

    淺談Verilog HDL測試激勵之時鐘激勵

    (76)Verilog HDL測試激勵:時鐘激勵31.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 02-23 07:33

    基于System Verilog中的隨機化激勵

    基于System Verilog中的隨機化激勵
    發(fā)表于 10-31 09:25 ?9次下載
    基于System <b class='flag-5'>Verilog</b>中的隨機化<b class='flag-5'>激勵</b>

    Verilog設計增加延時的仿真技術

    引起的差異,就可能產生錯誤的激勵,無法保證仿真結果的正確,本文就是區(qū)分各種延時賦值語句的差異,并給出比較結果。 1:阻塞式左延時賦值語句 舉例說明如下: module adder_t1 (co, sum
    的頭像 發(fā)表于 11-25 15:29 ?1894次閱讀

    (70)Verilog HDL測試激勵:復位激勵2

    (70)Verilog HDL測試激勵:復位激勵21.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 12-29 19:42 ?1次下載
    (70)<b class='flag-5'>Verilog</b> HDL測試<b class='flag-5'>激勵</b>:復位<b class='flag-5'>激勵</b>2

    (69)Verilog HDL測試激勵:時鐘激勵2

    (69)Verilog HDL測試激勵:時鐘激勵21.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 12-29 19:42 ?0次下載
    (69)<b class='flag-5'>Verilog</b> HDL測試<b class='flag-5'>激勵</b>:時鐘<b class='flag-5'>激勵</b>2

    (59)Verilog HDL測試激勵:時鐘激勵1

    (59)Verilog HDL測試激勵:時鐘激勵11.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 12-29 19:42 ?0次下載
    (59)<b class='flag-5'>Verilog</b> HDL測試<b class='flag-5'>激勵</b>:時鐘<b class='flag-5'>激勵</b>1

    (77)Verilog HDL測試激勵:復位激勵3

    (77)Verilog HDL測試激勵:復位激勵31.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 12-29 19:42 ?0次下載
    (77)<b class='flag-5'>Verilog</b> HDL測試<b class='flag-5'>激勵</b>:復位<b class='flag-5'>激勵</b>3

    (60)Verilog HDL測試激勵:復位激勵1

    (60)Verilog HDL測試激勵:復位激勵11.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 12-29 19:42 ?2次下載
    (60)<b class='flag-5'>Verilog</b> HDL測試<b class='flag-5'>激勵</b>:復位<b class='flag-5'>激勵</b>1

    (76)Verilog HDL測試激勵:時鐘激勵3

    (76)Verilog HDL測試激勵:時鐘激勵31.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)Verilog HD
    發(fā)表于 12-29 19:43 ?2次下載
    (76)<b class='flag-5'>Verilog</b> HDL測試<b class='flag-5'>激勵</b>:時鐘<b class='flag-5'>激勵</b>3