0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

重磅!2023年中國(guó)半導(dǎo)體投資深度分析與展望

感知芯視界 ? 來源:云岫資本 ? 作者:云岫資本 ? 2023-06-07 09:56 ? 次閱讀

來源:云岫資本,謝謝

編輯:感知芯視界

01

市場(chǎng)表現(xiàn)

2022年半導(dǎo)體行業(yè)經(jīng)歷了產(chǎn)能緊張、芯片缺貨、封控停工、消費(fèi)電子市場(chǎng)下滑等動(dòng)蕩,半導(dǎo)體A股上市公司2022年業(yè)績(jī)喜憂參半。據(jù)集微網(wǎng)不完全統(tǒng)計(jì),截至4月27日,在A股170家披露了2022年度業(yè)績(jī)報(bào)告的公司中,僅有65.88%的公司實(shí)現(xiàn)營(yíng)收增長(zhǎng);而盈利方面,有88家公司歸母凈利潤(rùn)出現(xiàn)同比下降,占比高達(dá)51.76%,超過5成。半導(dǎo)體上市公司市值變化同樣反映出行業(yè)動(dòng)蕩,2022年前四個(gè)月各細(xì)分領(lǐng)域上市公司市值均急速下滑,隨后大幅波動(dòng)。2023年開始,ChatGPT熱度暴增,帶動(dòng)算力芯片、存儲(chǔ)、光通信等相關(guān)概念股票價(jià)格上漲,人工智能帶動(dòng)芯片及上下游產(chǎn)業(yè)需求,將引領(lǐng)新一輪半導(dǎo)體周期。

2022年國(guó)際形勢(shì)加劇惡化,美國(guó)對(duì)華出口管制升級(jí),瞄準(zhǔn)半導(dǎo)體先進(jìn)計(jì)算與先進(jìn)制造產(chǎn)業(yè)鏈。8月,美國(guó)總統(tǒng)拜登簽署了《芯片與科學(xué)法案》,計(jì)劃為美國(guó)半導(dǎo)體產(chǎn)業(yè)提供約527億美元的補(bǔ)貼,主要面向制造與封測(cè)廠的建造,以及先進(jìn)制程、先進(jìn)封裝等先進(jìn)技術(shù)的發(fā)展。同時(shí)特別指出:接受補(bǔ)貼的公司不能在中國(guó)以及其他美國(guó)關(guān)切地區(qū)擴(kuò)產(chǎn)先進(jìn)芯片的產(chǎn)能,已經(jīng)規(guī)劃的擴(kuò)產(chǎn)計(jì)劃必須向美國(guó)商務(wù)部報(bào)備,擴(kuò)產(chǎn)被裁定為違約的公司需要停產(chǎn)或者退回補(bǔ)貼。10月,美國(guó)商務(wù)部工業(yè)安全局發(fā)布半導(dǎo)體出口管制新規(guī),新增對(duì)大算力芯片、超級(jí)計(jì)算機(jī)、先進(jìn)工藝制造設(shè)備以及相關(guān)軟件的出口管制,對(duì)于上游使用美國(guó)技術(shù)生產(chǎn)產(chǎn)品的管制范圍進(jìn)一步擴(kuò)大,并對(duì)于有美國(guó)產(chǎn)品或美國(guó)人參與的活動(dòng)加強(qiáng)管制。

2022年半導(dǎo)體行業(yè)一級(jí)市場(chǎng)投融資事件數(shù)量與投融資金額都較2021年下降,據(jù)企名片數(shù)據(jù),去年全年完成約989起投融資交易,融資規(guī)模約1,114億元人民幣。2022年消費(fèi)電子市場(chǎng)下滑,全球智能手機(jī)出貨量創(chuàng)2013年以來新低,預(yù)計(jì)消費(fèi)電子市場(chǎng)接近底部,2023年高端機(jī)型將率先復(fù)蘇,2024年手機(jī)銷量將全面恢復(fù)增長(zhǎng),帶動(dòng)相關(guān)芯片需求反彈。與之相反,2022年新能源汽車市場(chǎng)火爆,汽車芯片供不應(yīng)求,2022年全球因芯片短缺減產(chǎn)了450萬輛汽車,當(dāng)前汽車芯片需求仍然旺盛,國(guó)產(chǎn)汽車芯片也在逐步實(shí)現(xiàn)替代。

總結(jié)來看,未來半導(dǎo)體投資要關(guān)注三個(gè)熱點(diǎn):

  • AI硬件基礎(chǔ)設(shè)施,人工智能的發(fā)展需要數(shù)據(jù)中心CPU、GPU、DPU及光通信芯片的支撐;
  • 半導(dǎo)體設(shè)備與材料,美國(guó)不斷針對(duì)半導(dǎo)體先進(jìn)制造與先進(jìn)封裝產(chǎn)業(yè)鏈發(fā)布新規(guī),在生產(chǎn)端卡脖子,高端國(guó)產(chǎn)替代任重道遠(yuǎn);
  • 汽車芯片,新能源汽車市場(chǎng)仍然高速增長(zhǎng),國(guó)產(chǎn)汽車品牌引領(lǐng)市場(chǎng),帶動(dòng)國(guó)產(chǎn)汽車芯片需求增長(zhǎng)。
chaijie_default.png

02

AI硬件基礎(chǔ)設(shè)施篇

ChatGPT自2022年11月30日發(fā)布后迅速火爆全球,僅用5天時(shí)間用戶量便破百萬,推出2個(gè)月后用戶量破億,訪問量從1830萬增長(zhǎng)到6.72億,成為史上用戶增長(zhǎng)速度最快的消費(fèi)級(jí)應(yīng)用程序。

歷代GPT的參數(shù)量呈現(xiàn)指數(shù)級(jí)增長(zhǎng),ChatGPT的總算力消耗約為3640PF-days,GPT4在ChatGPT的基礎(chǔ)上增加了圖像、視頻等交互信息類型,內(nèi)容容量擴(kuò)大到2.5萬字,所需的算力將遠(yuǎn)大于單純的文字交互。OpenAI首席執(zhí)行官Sam Altman表示:GPT-5預(yù)計(jì)將在2024年底至2025年發(fā)布,它的參數(shù)量將為GPT-3的100倍,需要的計(jì)算量為GPT-3的200-400倍。隨著ChatGPT用戶和應(yīng)用范圍的持續(xù)擴(kuò)大,將直接帶來巨大的算力需求。

而強(qiáng)大的算力離不開硬件支持,ChatGPT將直接帶動(dòng)提供算力的服務(wù)器需求以及確保服務(wù)器能高效發(fā)揮作用的交換機(jī)和光模塊需求,其中的核心芯片將涉及CPU、GPU、AI芯片、交換芯片、光模塊光芯片與電芯片。

chaijie_default.png

信創(chuàng)即信息技術(shù)應(yīng)用創(chuàng)新產(chǎn)業(yè),信創(chuàng)產(chǎn)業(yè)是一條規(guī)模龐大、體系完整的產(chǎn)業(yè)鏈,是新基建的重要組成部分,也是我國(guó)經(jīng)濟(jì)發(fā)展的重要抓手。信創(chuàng)產(chǎn)業(yè)有4個(gè)最主要的核心部分,分別是基礎(chǔ)硬件、基礎(chǔ)軟件、應(yīng)用軟件以及信息安全。簡(jiǎn)單用一句話來概括,即在整個(gè)IT技術(shù)產(chǎn)業(yè)里實(shí)現(xiàn)自主可控、國(guó)產(chǎn)替代。

2020年黨政單位率先啟動(dòng)IT基礎(chǔ)軟硬件國(guó)產(chǎn)替代,八大關(guān)鍵行業(yè)亦緊隨其后。2019年工信部要求全國(guó)黨政行業(yè)從底層服務(wù)器到中間件、操作系統(tǒng)、數(shù)據(jù)庫(kù)、終端等進(jìn)行全面國(guó)產(chǎn)替換,目標(biāo)2020年、2021年分別實(shí)現(xiàn)30%和50%的國(guó)產(chǎn)替代,并在2022年實(shí)現(xiàn)全面國(guó)產(chǎn)替代。其中基礎(chǔ)硬件依舊是信創(chuàng)招投標(biāo)的重中之重,占比達(dá)44%。

chaijie_default.png

芯片是 ICT 產(chǎn)業(yè)基石,主要分為集成電路(CPU、GPU、FPGAASIC、存儲(chǔ)芯片、MCU、電源管理芯片等)、功率芯片(IGBTMOSFET 等)、光電子芯片(激光器芯片、光探測(cè)器芯片等)以及傳感器芯片(MEMS、指紋識(shí)別芯片等)四類。目前,從計(jì)算機(jī)行業(yè)視角來看,關(guān)注度最高的芯片主要是集成電路中的CPU、GPU、存儲(chǔ)芯片以及交換機(jī)芯片等算力相關(guān)領(lǐng)域。

chaijie_default.png

ARM架構(gòu)服務(wù)器CPU因?yàn)槠涞凸牡奶攸c(diǎn),近年來越來越受到全球各大云服務(wù)廠商青睞,亞馬遜、谷歌、阿里、騰訊等廠商紛紛將ARM架構(gòu)服務(wù)器CPU引入其數(shù)據(jù)中心方案。以AWS Graviton 2為例,Intel x86每小時(shí)云服務(wù)成本要比基于ARM服務(wù)器的云服務(wù)成本高近40%。雖然目前數(shù)據(jù)中心服務(wù)器仍然以X86為主,隨著AWS、華為和Ampere等廠商的快速成長(zhǎng),ARM CPU在數(shù)據(jù)中心的市場(chǎng)份額正在加速提升,預(yù)計(jì)2025年ARM CPU在數(shù)據(jù)中心占比將達(dá)22%。

chaijie_default.png

RISC-V兼具技術(shù)、趨勢(shì)和社會(huì)三大價(jià)值,未來十年有巨大的發(fā)展?jié)摿Γ浼軜?gòu)的靈活性可以和Chiplet的可擴(kuò)展性有高度契合,有望成為未來數(shù)據(jù)中心計(jì)算的強(qiáng)力方案。據(jù)RISC-V基金會(huì)預(yù)測(cè),全球到2025年采用RISC-V架構(gòu)的處理器將突破800億顆,IoT、AI和機(jī)器學(xué)習(xí)是重點(diǎn)發(fā)力領(lǐng)域。此外隨著平頭哥、賽昉科技、芯來科技等國(guó)內(nèi)企業(yè)發(fā)力產(chǎn)業(yè)鏈各個(gè)環(huán)節(jié),中國(guó)的RISC-V生態(tài)構(gòu)建也已初見成效。

chaijie_default.png

交換機(jī)作為各種類型網(wǎng)絡(luò)終端互聯(lián)互通的關(guān)鍵設(shè)備,廣泛應(yīng)用于消費(fèi)級(jí)市場(chǎng)、企業(yè)級(jí)市場(chǎng)、工業(yè)市場(chǎng)和云服務(wù)商市場(chǎng),交換芯片是交換機(jī)的核心部件之一,負(fù)責(zé)交換機(jī)底層數(shù)據(jù)包的交換轉(zhuǎn)發(fā)。

交換芯片由海外廠商絕對(duì)主導(dǎo),2020年中國(guó)商用以太網(wǎng)交換芯片市場(chǎng)中CR3廠商均為境外品牌,大陸廠商盛科通信市占率為1.6%。

chaijie_default.png

光芯片與電芯片是光模塊最核心的芯片,兩者占光模塊價(jià)值量近70%。光芯片可分為激光器芯片和探測(cè)器芯片,其中激光器芯片主要用于發(fā)射信號(hào),將電信號(hào)轉(zhuǎn)化為光信號(hào);探測(cè)器芯片主要用于接收信號(hào),將光信號(hào)轉(zhuǎn)化為電信號(hào)。電芯片是光通信系統(tǒng)中負(fù)責(zé)電信號(hào)處理的芯片,主要作用為驅(qū)動(dòng)光信號(hào)、提升光信號(hào)效能、電信號(hào)處理等。

2021年25G光芯片的國(guó)產(chǎn)化率約20%,25G以上光芯片的國(guó)產(chǎn)化率約5%,仍以海外光芯片廠商為主。

電芯片國(guó)產(chǎn)化率低于光芯片,國(guó)內(nèi)只有少數(shù)供應(yīng)商涉足25G及以下速率的電芯片產(chǎn)品,25G以上基本無國(guó)內(nèi)玩家。其中DSP難度最高,國(guó)產(chǎn)幾乎為0,其余電芯片國(guó)產(chǎn)化率在5%左右。

chaijie_default.png

DPU,因數(shù)據(jù)中心而生的“第三顆主力芯片”,數(shù)據(jù)中心是DPU目前最主要的應(yīng)用場(chǎng)景,預(yù)計(jì)未來用于數(shù)據(jù)中心的DPU數(shù)量將達(dá)到和數(shù)據(jù)中心服務(wù)器同等量級(jí)。DPU行業(yè)市場(chǎng)集中度較高。根據(jù)頭豹研究院數(shù)據(jù),2020年國(guó)內(nèi)DPU市場(chǎng)中,國(guó)際三大巨頭英偉達(dá)、博通、Intel的份額分別達(dá)到55%、36%、9%。

得益于數(shù)據(jù)中心升級(jí)和邊緣計(jì)算、新能源汽車、IoT、工業(yè)物聯(lián)網(wǎng)等產(chǎn)業(yè)的發(fā)展所帶來的需求增長(zhǎng),中國(guó) DPU 產(chǎn)業(yè)市場(chǎng)規(guī)模呈現(xiàn)逐年增長(zhǎng)的趨勢(shì),預(yù)計(jì)中國(guó) DPU市場(chǎng)將迎來快速增長(zhǎng)。根據(jù)賽迪顧問數(shù)據(jù),2020年中國(guó)DPU產(chǎn)業(yè)市場(chǎng)規(guī)模達(dá)3.9億元,預(yù)計(jì)到2025年中國(guó)DPU產(chǎn)業(yè)市場(chǎng)規(guī)模將超過565.9億元,期間CAGR高達(dá)170.6%。

chaijie_default.png

隨著更大的數(shù)據(jù)量處理需求和數(shù)據(jù)中心的發(fā)展,AI基礎(chǔ)設(shè)施硬件中的芯片逐步出現(xiàn)國(guó)產(chǎn)替代。數(shù)字芯片中的摩爾線程引領(lǐng)國(guó)內(nèi)GPU行業(yè)發(fā)展,賽昉科技推動(dòng)RISC-V生態(tài)發(fā)展,此芯科技打造高性能ARM CPU,長(zhǎng)瑞光電是國(guó)內(nèi)領(lǐng)先的VCSEL光芯片IDM企業(yè),橙科微實(shí)現(xiàn)了DSP電芯片的國(guó)產(chǎn)替代,中科海網(wǎng)實(shí)現(xiàn)了新一代DPU解決方案,篆芯是國(guó)內(nèi)稀缺的交換機(jī)芯片供應(yīng)商,京微齊力持續(xù)打造國(guó)產(chǎn)FPGA芯片標(biāo)桿。

chaijie_default.pngchaijie_default.png

03

設(shè)備和材料篇

設(shè)備和材料是半導(dǎo)體產(chǎn)業(yè)鏈的關(guān)鍵上游,隨著半導(dǎo)體制造市場(chǎng)的增長(zhǎng)而增長(zhǎng)。2022年以來外部環(huán)境對(duì)國(guó)內(nèi)半導(dǎo)體的監(jiān)管日益加強(qiáng),美日荷先后對(duì)半導(dǎo)體出口進(jìn)行管制,業(yè)績(jī)上來看半導(dǎo)體行業(yè)國(guó)際設(shè)備廠商周期下行,但中國(guó)設(shè)備廠商逆勢(shì)上行。國(guó)內(nèi)半導(dǎo)體板塊整體23年Q1業(yè)績(jī)承壓,半導(dǎo)體設(shè)備板塊業(yè)績(jī)表現(xiàn)亮眼。

chaijie_default.png

當(dāng)前半導(dǎo)體設(shè)備的國(guó)產(chǎn)化率還非常低,而中國(guó)大陸半導(dǎo)體制造占全球比重很高,因此半導(dǎo)體設(shè)備還有很大的國(guó)產(chǎn)替代空間。另一方面國(guó)內(nèi)政策大力扶持,在此背景下國(guó)內(nèi)晶圓廠有望加大國(guó)產(chǎn)半導(dǎo)體設(shè)備、材料的使用規(guī)模,國(guó)產(chǎn)替代也正在加速進(jìn)行中。

chaijie_default.png

第三代半導(dǎo)體的快速增長(zhǎng)也帶來了相關(guān)設(shè)備投資的窗口。襯底和外延占據(jù)三代半價(jià)值量制高點(diǎn),相應(yīng)的襯底制造設(shè)備和外延生長(zhǎng)設(shè)備具備投資價(jià)值。

chaijie_default.png

chaijie_default.png

長(zhǎng)晶爐是目前SiC單晶、硅片制備的主要設(shè)備,具有較高的技術(shù)壁壘。受益于下游SiC產(chǎn)業(yè)和光伏行業(yè)的需求旺盛,長(zhǎng)晶爐制造廠商在手訂單飽滿,新簽訂單也仍維持較高水平。

chaijie_default.png

刻蝕設(shè)備作為半導(dǎo)體設(shè)備的中堅(jiān)力量,有望率先完成國(guó)產(chǎn)替代。隨著半導(dǎo)體制程的微縮和結(jié)構(gòu)的復(fù)雜化,半導(dǎo)體刻蝕設(shè)備的種類和技術(shù)難度遞增。從國(guó)內(nèi)市場(chǎng)來看,刻蝕機(jī)尤其是介質(zhì)刻蝕機(jī),是我國(guó)最具優(yōu)勢(shì)的半導(dǎo)體設(shè)備領(lǐng)域,也是國(guó)產(chǎn)替代占比最高的重要半導(dǎo)體設(shè)備之一。

chaijie_default.png

第三代半導(dǎo)體是以碳化硅和氮化鎵為代表的寬禁帶半導(dǎo)體材料,具備高頻、高效、高功率、耐高壓、耐高溫、抗輻射能力強(qiáng)等優(yōu)越性能,是支撐新能源汽車、光伏儲(chǔ)能、高速軌交、智慧電網(wǎng)、新一代移動(dòng)通信等產(chǎn)業(yè)持續(xù)發(fā)展的重點(diǎn)核心材料。

chaijie_default.png

碳化硅襯底材料是碳化硅產(chǎn)業(yè)鏈中最具價(jià)值的一環(huán)。碳化硅器件制作過程可分為襯底加工、外延生長(zhǎng)、器件設(shè)計(jì)、制造、封裝等環(huán)節(jié),產(chǎn)業(yè)鏈存在較為顯著的價(jià)值量倒掛現(xiàn)象,其中襯底制造技術(shù)壁壘最高、價(jià)值量最大。在碳化硅產(chǎn)業(yè)鏈中,碳化硅襯底約占碳化硅器件成本的47%,而對(duì)于硅基器件來說,晶圓制造占據(jù) 50%的成本,硅片襯底僅占據(jù)7%的成本。

chaijie_default.png

碳化硅襯底的核心壁壘在于晶體生長(zhǎng),缺陷控制難度極高。在目前主流的工藝中,PVT法目前仍然存在一定的局限性。該工藝是在一個(gè)封閉系統(tǒng)中完成的長(zhǎng)晶過程,其監(jiān)測(cè)和控制都具有非常高的難度,這也導(dǎo)致目前的碳化硅晶體很難長(zhǎng)厚,良率也一直停滯不前。因此,目前碳化硅的長(zhǎng)晶路線仍在不斷迭代,業(yè)界主要在兩種新的長(zhǎng)晶路線上進(jìn)行研發(fā)突破。

一種路線是高溫化學(xué)氣相沉積法(HTCVD),最早是在1995年由瑞典林雪平大學(xué)的Kordina提出,并已由Norstel實(shí)現(xiàn)4英寸襯底的量產(chǎn)。這種方法利用氣態(tài)的高純碳源和硅源實(shí)現(xiàn)碳化硅晶體生長(zhǎng),生長(zhǎng)過程中可以持續(xù)通入氣體,因此可以實(shí)現(xiàn)更高的長(zhǎng)晶厚度和更精準(zhǔn)的碳硅比控制,同時(shí)生長(zhǎng)速度也高出PVT法一個(gè)量級(jí)。

另一種路線是液相法(LPE),但是與硅行業(yè)不同的是,碳化硅只有在相當(dāng)苛刻的高溫高壓條件下才可能呈現(xiàn)液態(tài),因此目前碳化硅液相法使用的并不是碳化硅溶液,而是在硅溶液中通過金屬助溶劑溶解碳進(jìn)而長(zhǎng)出晶體,但金屬助溶劑的使用會(huì)導(dǎo)致晶體殘留金屬雜質(zhì),不能用于后續(xù)器件的制作,因此液相法目前還處在發(fā)展早期。

chaijie_default.png

目前,全球碳化硅襯底正面臨極度的供不應(yīng)求。事實(shí)上,我們認(rèn)為這也是特斯拉不得不尋求減少碳化硅用量的直接原因。如果按照1片6寸襯底供給2輛新能源汽車來計(jì)算,那么2022年特斯拉130萬輛的產(chǎn)銷就需要65萬片6寸碳化硅襯底,同期全球碳化硅襯底產(chǎn)能不過80-100萬片;如果考慮到一部分襯底只能用來做工規(guī)級(jí)產(chǎn)品,那么目前全球產(chǎn)能也只能勉強(qiáng)滿足特斯拉一家車企的需求;而特斯拉計(jì)劃2030年實(shí)現(xiàn)2000萬輛的年產(chǎn)能,相當(dāng)于1000萬片的襯底需求,這需要全球襯底產(chǎn)能擴(kuò)大10倍以上。

即使考慮到單車碳化硅用量可能的減少,根據(jù)云岫資本測(cè)算,到2027年,全球車載碳化硅襯底需求量仍會(huì)突破650萬片,其中中國(guó)市場(chǎng)需求也將突破240萬片,當(dāng)前產(chǎn)能仍有6倍缺口。

chaijie_default.png

氮化鎵材料具有高開關(guān)頻率、低導(dǎo)通電阻、小尺寸等優(yōu)勢(shì),可以實(shí)現(xiàn)更高的系統(tǒng)效率、更少的功率損耗和更小的模塊體積,在功率器件領(lǐng)域相較硅材料優(yōu)勢(shì)明顯。最早,氮化鎵材料大范圍用于消費(fèi)電子快充,目前正在向汽車、數(shù)據(jù)中心、光伏儲(chǔ)能等領(lǐng)域滲透,未來市場(chǎng)將持續(xù)穩(wěn)定增長(zhǎng)。

chaijie_default.png

國(guó)內(nèi)氮化鎵行業(yè)持續(xù)高速增長(zhǎng),2026年有望實(shí)現(xiàn)千億市場(chǎng)規(guī)模。目前國(guó)內(nèi)創(chuàng)業(yè)公司眾多、行業(yè)集中度相對(duì)分散,但是以英諾賽科為代表的GaN IDM公司優(yōu)勢(shì)明顯,不僅可以廣泛覆蓋不同的下游應(yīng)用場(chǎng)景并自主掌握工藝和產(chǎn)能保障,未來也將持續(xù)提升市場(chǎng)份額。

chaijie_default.png

IC載板占高階倒裝芯片封裝成本的70%以上,是封裝最大的成本端。其中,ABF載板技術(shù)壁壘最高,面向CPU、GPU、FPGA、ASIC等算力芯片,2021年全球ABF載板供給缺口達(dá)30%,預(yù)計(jì)產(chǎn)能還將吃緊至2026年。隨著高性能、高算力芯片需求高企,F(xiàn)C-BGA 已成為IC載板行業(yè)規(guī)模最大、增速最快的細(xì)分領(lǐng)域。據(jù)Prismark預(yù)測(cè),2026年ABF載板市場(chǎng)規(guī)模將達(dá)到121億美元;而當(dāng)前,全球ABF載板產(chǎn)能集中于中國(guó)臺(tái)灣、日本和韓國(guó),國(guó)產(chǎn)化率幾乎為零。

chaijie_default.png

雖然外部環(huán)境對(duì)國(guó)內(nèi)半導(dǎo)體的監(jiān)管日益加強(qiáng),但近年來國(guó)內(nèi)涌現(xiàn)了大量半導(dǎo)體設(shè)備優(yōu)秀企業(yè),中微公司、北方華創(chuàng)、邑文科技、磐石創(chuàng)新都是發(fā)展迅速的半導(dǎo)體設(shè)備公司,其中邑文科技主營(yíng)碳化硅自研薄膜、刻蝕設(shè)備,磐石創(chuàng)新主營(yíng)新型半導(dǎo)體材料單、多晶長(zhǎng)晶設(shè)備。

chaijie_default.png

chaijie_default.pngchaijie_default.png

材料領(lǐng)域近年來涌現(xiàn)了一批優(yōu)秀企業(yè),超芯星、銘鎵半導(dǎo)體、漢驊半導(dǎo)體都是發(fā)展迅速的第三代半導(dǎo)體公司,宏芯氣體、追光科技在各自領(lǐng)域都已占據(jù)領(lǐng)先身位,科睿斯、新菲新材料在封裝材料領(lǐng)域積累深厚、優(yōu)勢(shì)明顯。

chaijie_default.pngchaijie_default.png

04

汽車芯片篇

2022年全球新能源汽車銷量增長(zhǎng)63.6%,達(dá)到1065萬輛;中國(guó)新能源汽車銷量增長(zhǎng)95.5%,達(dá)到688.4萬輛,中國(guó)新能源汽車銷量占全球近65%,在廠商銷量榜上,中國(guó)品牌占據(jù)主流。

chaijie_default.png

2022年我國(guó)汽車出口總量突破300萬輛,超過德國(guó)的261萬輛,成為僅次于日本的世界第二大乘用車出口國(guó),中國(guó)汽車品牌在國(guó)際市場(chǎng)上也實(shí)現(xiàn)了量?jī)r(jià)齊升。2023年1-4月我國(guó)汽車出口總量達(dá)137萬輛,首次超越汽車第一大出口國(guó)日本,市場(chǎng)紛紛預(yù)測(cè),中國(guó)今年有望成為全球最大汽車出口國(guó)。這給國(guó)產(chǎn)汽車芯片提供了沃土,汽車芯片國(guó)產(chǎn)化率將持續(xù)提升。

chaijie_default.png

模擬芯片產(chǎn)品品類是競(jìng)爭(zhēng)的關(guān)鍵因素。全球最大的兩家模擬芯片廠商TIADI產(chǎn)品種類有幾萬種,而國(guó)內(nèi)龍頭廠商不到2000種。模擬芯片的驗(yàn)證周期長(zhǎng)且要求嚴(yán)苛,研發(fā)投入大,品類拓展困難,壁壘非常高,但是一旦能夠形成良性循環(huán),未來的收入和毛利增長(zhǎng)都是非常確定的。所以我們可以看到二級(jí)市場(chǎng)車規(guī)芯片公司如斯達(dá)半導(dǎo)體、納芯微等,市盈率都非常高,其核心還是具備很深的護(hù)城河以及行業(yè)有極大的想象空間。

chaijie_default.png

電源管理芯片有廣闊的存量市場(chǎng),中國(guó)市場(chǎng)約占全球市場(chǎng)的三分之一。電源管理芯片在電動(dòng)汽車上應(yīng)用廣泛,在高級(jí)駕駛輔助系統(tǒng)(ADAS)、三電動(dòng)力系統(tǒng)、車身電子及照明系統(tǒng)以及信息娛樂與儀表盤等大模塊中都用到很多的電源管理芯片。隨著汽車電動(dòng)化、智能化發(fā)展,單車電源管理芯片的數(shù)量和價(jià)值量進(jìn)一步上升,Yole預(yù)測(cè)汽車將是電源管理芯片增速最快的賽道。此外國(guó)內(nèi)外知名大廠體量上差距顯著,國(guó)內(nèi)格局未定,電源管理初創(chuàng)公司也值得關(guān)注。

chaijie_default.png

隨著新能源、電動(dòng)汽車市場(chǎng)爆發(fā)式增長(zhǎng),功率半導(dǎo)體持續(xù)供不應(yīng)求,2023年Q2的IGBT貨期仍在39-54周。為優(yōu)化下游應(yīng)用,功率半導(dǎo)體產(chǎn)品不斷向高功率密度與低損耗發(fā)展。

chaijie_default.png

國(guó)內(nèi)外IGBT技術(shù)仍存在差距英飛凌已在大規(guī)模使用第七代技術(shù),而國(guó)內(nèi)第七代技術(shù)剛剛起步,大多數(shù)廠商還在應(yīng)用第四代技術(shù)。這也使得當(dāng)前新能源用IGBT國(guó)產(chǎn)化率仍然較低,只有10%,而光伏逆變器頭部廠商中中國(guó)廠商較多,未來隨著國(guó)產(chǎn)IGBT性能提升,國(guó)產(chǎn)化率會(huì)逐步提高。

chaijie_default.png

碳化硅材料禁帶寬度更大,在擊穿電場(chǎng)強(qiáng)度、飽和電子漂移速率、熱導(dǎo)率以及抗輻射等關(guān)鍵參數(shù)方面有顯著優(yōu)勢(shì)。因此,碳化硅是制造高溫、高頻、大功率半導(dǎo)體器件的理想材料,具有高效率、開關(guān)速度快等性能優(yōu)勢(shì),能大幅降低產(chǎn)品能耗、提升能量轉(zhuǎn)換效率并縮小產(chǎn)品體積,完美契合碳中和時(shí)代的應(yīng)用需求。

在新能源汽車領(lǐng)域,碳化硅器件主要用于主驅(qū)逆變器、OBC、DC/DC充電樁。2017年,特斯拉率先在其Model 3車型上使用碳化硅器件,以簡(jiǎn)化供電網(wǎng)絡(luò)、減少逆變體積和重量、降低損耗并提高汽車?yán)m(xù)航。在特斯拉的帶領(lǐng)下,國(guó)內(nèi)外各大車企紛紛發(fā)布碳化硅上車計(jì)劃,新能源汽車逐漸成為碳化硅器件最大的終端應(yīng)用市場(chǎng)。

chaijie_default.png

全球碳化硅器件市場(chǎng)長(zhǎng)期以來一直由海外巨頭主導(dǎo)。根據(jù)Yole的數(shù)據(jù),2021年全球碳化硅功率器件市場(chǎng)份額由海外巨頭意法半導(dǎo)體、Wolfspeed、羅姆、英飛凌、三菱電機(jī)、安森美等廠商壟斷,全球TOP 6占據(jù)了99%的市場(chǎng)份額。國(guó)內(nèi)創(chuàng)業(yè)公司眾多,但是同時(shí)具備強(qiáng)大技術(shù)實(shí)力、制造產(chǎn)能支撐、襯底保供能力的企業(yè)非常稀缺,目前頭部公司均嘗試向IDM模式轉(zhuǎn)型。

chaijie_default.png

車規(guī)級(jí)BMS芯片,是各電源管理芯片玩家積極布局的重要方向。該類芯片需要BCD高壓工藝,以及通過AEC-Q100和ASIL D認(rèn)證,技術(shù)壁壘極高。目前特斯拉、小鵬等新能源車企仍廣泛采用TI、ADI等海外廠商的BMS芯片,全球BMS芯片市場(chǎng)中國(guó)內(nèi)玩家份額不足10%,市場(chǎng)還存在巨大的國(guó)產(chǎn)替代空間。國(guó)內(nèi)上市公司納芯微、凹凸電子等表現(xiàn)出色,未來將繼續(xù)向汽車中更高端的領(lǐng)域突破。

chaijie_default.png

隨著新能源汽車平臺(tái)升級(jí)到800V,光伏系統(tǒng)升級(jí)到1500V,隔離芯片作為高壓場(chǎng)景的必要芯片,市場(chǎng)需求不斷提升。磁隔因可靠性更高,抗干擾能力更強(qiáng),安全性更高,將得到更廣泛的應(yīng)用。

chaijie_default.png

車載以太網(wǎng)正成為新一代的汽車通信網(wǎng)絡(luò),傳統(tǒng)的CAN總線通信速率在1 Mb/s,而車載以太網(wǎng)的通信速率可以達(dá)到1000 Mb/s以上。車載以太網(wǎng)的高速率可以適應(yīng)智能汽車的通信要求,高傳輸速率對(duì)傳輸大量傳感器數(shù)據(jù)和中控?cái)?shù)據(jù)幫助非常大,以太網(wǎng)芯片需求隨之增長(zhǎng),車載以太網(wǎng)逐漸成為車廠的共識(shí)。目前博通、美滿科技、瑞昱等國(guó)外大廠占據(jù)主要市場(chǎng)份額。

chaijie_default.png

車聯(lián)網(wǎng)是未來確定性的發(fā)展方向,近年來中國(guó)車聯(lián)網(wǎng)市場(chǎng)保持30%的高速增長(zhǎng),滲透率逐步上升。隨著車聯(lián)網(wǎng)扶持政策的落地以及端、管、云相關(guān)技術(shù)的成熟,2030年實(shí)現(xiàn)智能網(wǎng)聯(lián)汽車在高速公路廣泛應(yīng)用,在部分城市道路規(guī)?;瘧?yīng)用。車聯(lián)網(wǎng)配套的數(shù)據(jù)服務(wù)和新商業(yè)模式也將創(chuàng)新落地。

chaijie_default.png

國(guó)內(nèi)汽車通訊的發(fā)展遵從“車-云通訊率先落地,車-路云通訊等待路側(cè)單元配套基建落地爆量”的路徑。目前主流的車云通訊需求主要由T BOX滿足,市場(chǎng)規(guī)模大且分散,尚未形成穩(wěn)定格局。CV2X生態(tài)在構(gòu)建中,從產(chǎn)業(yè)鏈的角度看,國(guó)內(nèi)具備車聯(lián)網(wǎng)終端能力的廠商較多,但是具備自主研發(fā)生產(chǎn)CV2X基帶芯片的廠商僅華為、展銳、中興和宸芯四家,具備國(guó)產(chǎn)CV2X芯片能力的初創(chuàng)公司值得關(guān)注。

chaijie_default.png

汽車傳感器種類繁多。在圖像傳感器上,汽車智能化推動(dòng)汽車CIS市場(chǎng)規(guī)模迅速擴(kuò)張。相比于手機(jī)CIS,汽車CIS追求更高的穩(wěn)定性、安全性,擁有更高的技術(shù)壁壘、單價(jià)和更長(zhǎng)的使用壽命,預(yù)計(jì)到2025年,車規(guī)級(jí)CIS仍有三倍的產(chǎn)能缺口。

chaijie_default.pngchaijie_default.png

近年來,激光雷達(dá)加速上車,預(yù)計(jì)2025年全球激光雷達(dá)市場(chǎng)規(guī)模將達(dá)到135億美元,在激光雷達(dá)的結(jié)構(gòu)中,激光器和探測(cè)器是關(guān)鍵部件。激光器有EEL、VCSEL、光纖激光器三類主要方案,探測(cè)器的主流方案是APD,該方案具有低探測(cè)噪聲、中長(zhǎng)測(cè)距的優(yōu)勢(shì)。

chaijie_default.pngchaijie_default.pngchaijie_default.png

毫米波雷達(dá)目前仍是汽車傳感器的一大熱點(diǎn)。隨著未來自動(dòng)駕駛的要求更高,需要輸入的信息更多,4D毫米波成像會(huì)具有更大的需求和市場(chǎng)空間。

chaijie_default.png

磁傳感器在汽車中的關(guān)鍵位置發(fā)揮巨大作用,目前芯片占據(jù)磁傳感器60%以上成本,供應(yīng)商以國(guó)外廠商為主,仍有很大的國(guó)產(chǎn)替代空間。

chaijie_default.png

MEMS傳感器在車內(nèi)應(yīng)用廣泛,IDM廠商擁有成熟的CMOS、MEMS工藝以及專業(yè)的測(cè)試設(shè)備,在芯片交貨和品控上更具優(yōu)勢(shì),是目前MEMS傳感器廠商的主流模式。

chaijie_default.png

自動(dòng)駕駛芯片是智能汽車的大腦,異構(gòu)SoC會(huì)成為自動(dòng)駕駛芯片架構(gòu)主流。SoC芯片包括CPU、GPU、XPU及其他功能模塊,芯片廠商力爭(zhēng)不斷加強(qiáng)核心IP自研能力以提高競(jìng)爭(zhēng)力。

chaijie_default.pngchaijie_default.png

智能座艙如今已成為消費(fèi)者購(gòu)車的重要考量,手機(jī)芯片廠商由于具有迭代速度快、AI性能強(qiáng)的優(yōu)勢(shì),快速主導(dǎo)智能座艙SoC芯片市場(chǎng)。

chaijie_default.pngchaijie_default.png

目前,國(guó)內(nèi)的汽車MCU廠商市占率還非常低,全球車規(guī)級(jí)MCU芯片由海外廠商主導(dǎo),市場(chǎng)存在巨大的國(guó)產(chǎn)替代空間。

chaijie_default.png

汽車芯片相關(guān)標(biāo)的,SoC如芯礪智能、愛芯元智、地平線都是頭部廠商,輝曦智能、歐冶半導(dǎo)體擁有業(yè)界領(lǐng)先的智能控制和駕駛的解決方案;激光雷達(dá)芯片中長(zhǎng)瑞光電、映訊芯光發(fā)展迅速;信號(hào)鏈芯片中線易解決方案完備;電源管理芯片中凹凸科技積累深厚,先發(fā)優(yōu)勢(shì)明顯;SiC中長(zhǎng)飛先進(jìn)、超芯星表現(xiàn)優(yōu)異。

chaijie_default.pngchaijie_default.pngchaijie_default.png

05

2023年展望

云岫資本對(duì)于2023年中國(guó)半導(dǎo)體投資有如下觀點(diǎn):首先,AI硬件基礎(chǔ)設(shè)施和信創(chuàng)產(chǎn)業(yè)將培養(yǎng)出國(guó)產(chǎn)數(shù)據(jù)中心芯片巨頭,ChatGPT爆發(fā)帶動(dòng)數(shù)據(jù)中心加速升級(jí),推動(dòng)高性能GPU、CPU、光模塊芯片、交換機(jī)芯片需求迅速攀升;第二,半導(dǎo)體設(shè)備/材料、模擬芯片等領(lǐng)域需要高端國(guó)產(chǎn)替代,美國(guó)對(duì)華半導(dǎo)體設(shè)備出口管制政策升級(jí),為國(guó)產(chǎn)半導(dǎo)體設(shè)備/材料企業(yè)帶來重大挑戰(zhàn)和機(jī)遇;第三,智能汽車是中國(guó)半導(dǎo)體巨大供應(yīng)鏈機(jī)遇,汽車智能化已成為新能源發(fā)展的必要趨勢(shì),而芯片是汽車智能化的核心。

chaijie_default.png

在新冠疫情、俄烏沖突、美元加息、下游市場(chǎng)分化、消費(fèi)電子需求疲軟等綜合因素疊加下,2022年半導(dǎo)體行業(yè)進(jìn)入下行周期,全球半導(dǎo)體市場(chǎng)遭受了嚴(yán)重的挑戰(zhàn)。然而,以智能手機(jī)為代表的下游通信市場(chǎng)和以PC為代表的下游計(jì)算機(jī)市場(chǎng),需求均在一季度觸底,伴隨汽車電子、數(shù)據(jù)中心等場(chǎng)景的增量需求,半導(dǎo)體行業(yè)有望實(shí)現(xiàn)強(qiáng)勁反彈。經(jīng)過22年的大幅回撤調(diào)整,目前半導(dǎo)體企業(yè)估值已進(jìn)入底部區(qū)間,在市場(chǎng)逐漸恢復(fù)理性的過程中,最佳的半導(dǎo)體企業(yè)投資時(shí)點(diǎn)將會(huì)出現(xiàn)。

*免責(zé)聲明:本文版權(quán)歸原作者所有,本文所用圖片、文字如涉及作品版權(quán),請(qǐng)第一時(shí)間聯(lián)系我們刪除。本平臺(tái)旨在提供行業(yè)資訊,僅代表作者觀點(diǎn),不代表感知芯視界立場(chǎng)。

審核編輯黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    49985

    瀏覽量

    419657
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26647

    瀏覽量

    212749
  • AI
    AI
    +關(guān)注

    關(guān)注

    87

    文章

    29383

    瀏覽量

    267664
  • ChatGPT
    +關(guān)注

    關(guān)注

    28

    文章

    1525

    瀏覽量

    7250
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    聞泰科技榮獲“2023年中國(guó)半導(dǎo)體行業(yè)功率器件十強(qiáng)企業(yè)”

    ,榮獲中國(guó)半導(dǎo)體協(xié)會(huì)頒發(fā)的“2023年中國(guó)半導(dǎo)體行業(yè)功率器件十強(qiáng)企業(yè)”稱號(hào)。 本次會(huì)議由中國(guó)
    的頭像 發(fā)表于 07-29 09:23 ?1618次閱讀

    揚(yáng)杰科技榮獲“2023年中國(guó)半導(dǎo)體行業(yè)功率器件十強(qiáng)企業(yè)”稱號(hào)

    20247月22-24日,第十八屆中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)半導(dǎo)體分立器件年會(huì)暨2024年中國(guó)半導(dǎo)體
    的頭像 發(fā)表于 07-25 09:22 ?848次閱讀

    2023年中國(guó)鋰電導(dǎo)電劑出貨同比增長(zhǎng)24%

    高工產(chǎn)研鋰電研究所(GGII)數(shù)據(jù)顯示,2023年中國(guó)鋰電池導(dǎo)電劑(折合為粉體)出貨4.6萬噸,同比增長(zhǎng)24%(2022增速為68%),市場(chǎng)增速放緩
    的頭像 發(fā)表于 04-02 11:20 ?875次閱讀
    <b class='flag-5'>2023</b><b class='flag-5'>年中國(guó)</b>鋰電導(dǎo)電劑出貨同比增長(zhǎng)24%

    2023年中國(guó)PC顯示器市場(chǎng)出貨量達(dá)2619萬臺(tái)

    報(bào)告分析指出,2023年中國(guó)PC顯示器市場(chǎng)主要表現(xiàn)出兩個(gè)顯著特征:一方面是市場(chǎng)在下沉探索,本土企業(yè)巧妙把握價(jià)格策略,成功滲透至低端市場(chǎng),拉動(dòng)了用戶群體的壯大,使得市場(chǎng)整體反彈;
    的頭像 發(fā)表于 03-30 10:51 ?1539次閱讀

    2023年中國(guó)通信儲(chǔ)能鋰電池企業(yè)出貨量排名TOP10

    高工產(chǎn)研儲(chǔ)能研究所(GGII)調(diào)研數(shù)據(jù)顯示,2023年中國(guó)通信儲(chǔ)能鋰電池出貨量為8GWh。
    的頭像 發(fā)表于 03-26 09:40 ?1110次閱讀
    <b class='flag-5'>2023</b><b class='flag-5'>年中國(guó)</b>通信儲(chǔ)能鋰電池企業(yè)出貨量排名TOP10

    2023年中國(guó)工業(yè)機(jī)器人市場(chǎng)銷量31.6萬臺(tái),同比增長(zhǎng)4.29%

    GGII數(shù)據(jù)顯示,2023年中國(guó)工業(yè)機(jī)器人市場(chǎng)銷量31.6萬臺(tái),同比增長(zhǎng)4.29%,預(yù)計(jì)2024市場(chǎng)銷量有望突破32萬臺(tái),市場(chǎng)整體延續(xù)微增態(tài)勢(shì)。
    的頭像 發(fā)表于 02-25 15:49 ?4026次閱讀
    <b class='flag-5'>2023</b><b class='flag-5'>年中國(guó)</b>工業(yè)機(jī)器人市場(chǎng)銷量31.6萬臺(tái),同比增長(zhǎng)4.29%

    華為第一!2023年中國(guó)折疊屏手機(jī)報(bào)告出爐

    2023年中國(guó)折疊屏手機(jī)市場(chǎng)出貨量同比增速再超100%。
    的頭像 發(fā)表于 02-21 10:36 ?895次閱讀
    華為第一!<b class='flag-5'>2023</b><b class='flag-5'>年中國(guó)</b>折疊屏手機(jī)報(bào)告出爐

    2023年中國(guó)鋰電池出口額創(chuàng)新高

    中國(guó)化學(xué)與物理電源行業(yè)協(xié)會(huì)最新統(tǒng)計(jì)數(shù)據(jù)顯示,2023年中國(guó)鋰離子電池的出口額創(chuàng)下了歷史新高。在2023的1-12月期間,
    的頭像 發(fā)表于 01-30 16:56 ?1181次閱讀

    超星未來入選「2023中國(guó)半導(dǎo)體芯片設(shè)計(jì)創(chuàng)新獎(jiǎng)TOP10」

    近日,億歐聯(lián)合芯榜重磅發(fā)布了「2023中國(guó)半導(dǎo)體芯片設(shè)計(jì)創(chuàng)新獎(jiǎng)TOP10」榜單。憑借領(lǐng)先的架構(gòu)設(shè)計(jì)能力和獨(dú)特的產(chǎn)品創(chuàng)新優(yōu)勢(shì),超星未來成功入選。
    的頭像 發(fā)表于 01-22 17:12 ?609次閱讀
    超星未來入選「<b class='flag-5'>2023</b><b class='flag-5'>中國(guó)</b><b class='flag-5'>半導(dǎo)體</b>芯片設(shè)計(jì)創(chuàng)新獎(jiǎng)TOP10」

    2024年中國(guó)半導(dǎo)體產(chǎn)業(yè)展望(下)

    洞見分析經(jīng)驗(yàn)分享
    電子發(fā)燒友網(wǎng)官方
    發(fā)布于 :2024年01月22日 16:43:59

    2024年中國(guó)半導(dǎo)體產(chǎn)業(yè)展望(上)

    洞見分析
    電子發(fā)燒友網(wǎng)官方
    發(fā)布于 :2024年01月22日 16:23:56

    2023年中國(guó)鋰電產(chǎn)業(yè)鏈增速超30%

    GGII初步調(diào)研數(shù)據(jù)顯示,2023年中國(guó)動(dòng)力電池出貨630GWh,同比增長(zhǎng)31%。
    的頭像 發(fā)表于 01-22 09:46 ?1074次閱讀
    <b class='flag-5'>2023</b><b class='flag-5'>年中國(guó)</b>鋰電產(chǎn)業(yè)鏈增速超30%

    超可靠存儲(chǔ)解決方案商康盈半導(dǎo)體喜登 投資家網(wǎng) · 2023中國(guó)價(jià)值企業(yè)榜

    日前,由投資家網(wǎng)旗下投資家研究院發(fā)起的“投資家網(wǎng) · 2023中國(guó)價(jià)值企業(yè)榜”評(píng)選結(jié)果重磅發(fā)布,
    的頭像 發(fā)表于 01-02 18:40 ?750次閱讀

    中國(guó)半導(dǎo)體100強(qiáng)榜單出爐!11家傳感器芯片企業(yè)殺入!少2家?。ǜ饺駟危?/a>

    發(fā)展報(bào)告》,回顧了過去一年中國(guó)半導(dǎo)體產(chǎn)業(yè)的發(fā)展,對(duì)明年全球半導(dǎo)體市場(chǎng)發(fā)展趨勢(shì)做出綜合研判。報(bào)告公布了最新的“2023
    的頭像 發(fā)表于 12-22 08:39 ?2211次閱讀
    <b class='flag-5'>中國(guó)</b><b class='flag-5'>半導(dǎo)體</b>100強(qiáng)榜單出爐!11家傳感器芯片企業(yè)殺入!少2家!(附全榜單)

    2023中國(guó)半導(dǎo)體市場(chǎng)回顧暨百?gòu)?qiáng)企業(yè)揭曉

    就國(guó)內(nèi)芯片行業(yè)而言,雖然也面臨著挑戰(zhàn),但仍然保持了良好的發(fā)展勢(shì)頭。韓曉敏預(yù)計(jì),2023年中國(guó)芯片公司的銷售額將達(dá)5270億元,比去年略增7.36%。他認(rèn)為,過去二十年,盡管全球半導(dǎo)體產(chǎn)業(yè)歷經(jīng)起伏,但
    的頭像 發(fā)表于 12-21 10:56 ?1271次閱讀