0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

異步電路的跨時(shí)鐘域處理

冬至子 ? 來(lái)源:數(shù)字IC自修室 ? 作者:L.L. ? 2023-06-27 10:32 ? 次閱讀

1

異步電路的界定

異步電路不能根據(jù)時(shí)鐘是否同源來(lái)界定,時(shí)鐘之間沒(méi)有確定的相位關(guān)系是唯一準(zhǔn)則。 比如同一個(gè)時(shí)鐘源如果經(jīng)過(guò)pll分出33MHZ和50MHZ 的兩個(gè)時(shí)鐘,他們的相位關(guān)系會(huì)時(shí)鐘變化的變化,那么他們驅(qū)動(dòng)的電路也屬于異步電路。

2

跨時(shí)鐘域處理不當(dāng)?shù)奈:徒鉀Q方案

1. 亞穩(wěn)態(tài)

異步系統(tǒng)交互時(shí)會(huì)出現(xiàn)亞穩(wěn)態(tài),如果直接使用亞穩(wěn)態(tài)信號(hào),或者因處理不當(dāng)導(dǎo)致其傳播,會(huì)使整個(gè)系統(tǒng)結(jié)果不可預(yù)期。合理的異步電路同步器設(shè)計(jì)可以有效降低亞穩(wěn)態(tài)出現(xiàn)的概率,但其是不可能被完全消除的。而亞穩(wěn)態(tài)出現(xiàn)的概率和器件工藝,時(shí)鐘頻率,信號(hào)頻率和同步器結(jié)構(gòu)都有關(guān)系。

解決方案:

  1. 使用合適的同步器,包括但不限于:1bit多級(jí)打拍同步(電平信號(hào),脈沖信號(hào)),多bit格雷碼轉(zhuǎn)換打拍同步,多bit Dmux同步,握手協(xié)議同步,異步FIFO同步。
  2. 若是打拍同步,需要根據(jù)工藝以及頻率選擇合適的打拍級(jí)數(shù)

2. 毛刺

異步系統(tǒng)交互時(shí)如果上游模塊有信號(hào)毛刺,不當(dāng)?shù)奶幚頃?huì)使其傳播并被下游異步模塊采樣,可能會(huì)導(dǎo)致下游功能錯(cuò)誤。同步系統(tǒng)內(nèi)有setup檢查保證毛刺在被采樣前有足夠的時(shí)間的消除,而異步電路只能靠設(shè)計(jì)保證毛刺不被傳播和誤采樣。

解決方案:

  1. 上游待同步信號(hào)寄存器輸出過(guò)濾毛刺
  2. 待同步信號(hào)的輸出寄存器和同步器之間不能存在任何組合邏輯

3. 漏采、誤采

異步系統(tǒng)交互時(shí)如果處理不當(dāng),可能會(huì)造成下游對(duì)傳播信號(hào)漏采樣或者誤采樣。

解決方案:

  1. 明確系統(tǒng)間的頻率關(guān)系,采用合適的同步器
  2. 單bit待采集信號(hào)要進(jìn)行位寬確認(rèn)和拓展(快->慢),一般要保證信號(hào)寬度大于采集時(shí)鐘加上一個(gè)margin(依據(jù)經(jīng)驗(yàn)而定,來(lái)源是采集時(shí)鐘域的setup,hold,skew,jitter等)
  3. 多bit信號(hào)不能直接打拍采樣,通過(guò)格雷碼或者握手,異步fifo等其他結(jié)構(gòu)的同步器。

4. 再匯集

有相互關(guān)系的上游信號(hào)經(jīng)過(guò)了異步處理之后到達(dá)另一個(gè)時(shí)鐘域的時(shí)間是不可預(yù)期的,那么他們?cè)俅螀R聚的時(shí)候會(huì)出現(xiàn)與預(yù)期功能不一致的錯(cuò)誤。這又被稱為再收斂(reconverge)錯(cuò)誤。

解決方案:

  1. 多個(gè)1bit信號(hào)待同步時(shí),必須在原時(shí)鐘域完成組合匯聚和寄存處理,不能分別同步到另一個(gè)時(shí)鐘域再匯聚
  2. 同源信號(hào)復(fù)制跨時(shí)鐘域時(shí),需要先進(jìn)行跨時(shí)鐘域,再進(jìn)行復(fù)制。

5. 異步復(fù)位

如果處理不當(dāng)或者沒(méi)有做好相應(yīng)時(shí)序檢查,復(fù)位功能會(huì)有問(wèn)題。

解決方案:

  1. 采用穩(wěn)定的復(fù)位邏輯單元(普遍使用經(jīng)典的異步復(fù)位同步釋放結(jié)構(gòu))
  2. 做好recovery-removal檢查
  3. 保證對(duì)接模塊的異步復(fù)位不相互影響

3

異步電路跨時(shí)鐘域的設(shè)計(jì)檢查

  1. 明確設(shè)計(jì)規(guī)格,做好代碼review
  2. 做好Clock-Domain-Crossing(CDC)檢查
  3. 有條件的做好FPGA,EMU和后仿檢查確認(rèn)
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA設(shè)計(jì)
    +關(guān)注

    關(guān)注

    9

    文章

    428

    瀏覽量

    26449
  • 芯片設(shè)計(jì)
    +關(guān)注

    關(guān)注

    15

    文章

    986

    瀏覽量

    54708
  • 異步電路
    +關(guān)注

    關(guān)注

    2

    文章

    48

    瀏覽量

    11075
  • 同步器
    +關(guān)注

    關(guān)注

    1

    文章

    91

    瀏覽量

    14588
  • FIFO存儲(chǔ)
    +關(guān)注

    關(guān)注

    0

    文章

    103

    瀏覽量

    5953
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    關(guān)于時(shí)鐘信號(hào)的處理方法

    我在知乎看到了多bit信號(hào)時(shí)鐘的問(wèn)題,于是整理了一下自己對(duì)于時(shí)鐘信號(hào)的處理方法。
    的頭像 發(fā)表于 10-09 10:44 ?5813次閱讀

    如何處理好FPGA設(shè)計(jì)中時(shí)鐘問(wèn)題?

    以手到擒來(lái)。這里介紹的三種方法時(shí)鐘處理方法如下:打兩拍;異步雙口 RAM;格雷碼轉(zhuǎn)換。01方法一:打兩拍大家很清楚,
    發(fā)表于 09-22 10:24

    探尋FPGA中三種時(shí)鐘處理方法

    以手到擒來(lái)。這里介紹的三種方法時(shí)鐘處理方法如下:打兩拍;異步雙口 RAM;格雷碼轉(zhuǎn)換。01方法一:打兩拍大家很清楚,
    發(fā)表于 10-20 09:27

    三種時(shí)鐘處理的方法

    的三種方法時(shí)鐘處理方法如下:  1. 打兩拍;  2. 異步雙口RAM;  3. 格雷碼轉(zhuǎn)換?! 》椒ㄒ唬捍騼膳摹 〈蠹液芮宄?,
    發(fā)表于 01-08 16:55

    三種FPGA界最常用的時(shí)鐘處理法式

    時(shí)鐘處理方法如下:打兩拍;異步雙口RAM;格雷碼轉(zhuǎn)換。01方法一:打兩拍大家很清楚,處理
    發(fā)表于 02-21 07:00

    FPGA時(shí)鐘處理簡(jiǎn)介

    (10)FPGA時(shí)鐘處理1.1 目錄1)目錄2)FPGA簡(jiǎn)介3)Verilog HDL簡(jiǎn)介4)FPGA
    發(fā)表于 02-23 07:47

    FPGA界最常用也最實(shí)用的3種時(shí)鐘處理的方法

    介紹3種時(shí)鐘處理的方法,這3種方法可以說(shuō)是FPGA界最常用也最實(shí)用的方法,這三種方法包含了單bit和多bit數(shù)據(jù)的
    發(fā)表于 11-15 20:08 ?1.4w次閱讀

    cdc路徑方案幫您解決時(shí)鐘難題

    這一章介紹一下CDC也就是時(shí)鐘可能存在的一些問(wèn)題以及基本的時(shí)鐘
    的頭像 發(fā)表于 11-30 06:29 ?7057次閱讀
    cdc路徑方案幫您解決<b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>難題

    如何解決異步FIFO時(shí)鐘亞穩(wěn)態(tài)問(wèn)題?

    時(shí)鐘的問(wèn)題:前一篇已經(jīng)提到要通過(guò)比較讀寫指針來(lái)判斷產(chǎn)生讀空和寫滿信號(hào),但是讀指針是屬于讀時(shí)鐘的,寫指針是屬于寫
    的頭像 發(fā)表于 09-05 14:29 ?5960次閱讀

    關(guān)于FPGA中時(shí)鐘的問(wèn)題分析

    時(shí)鐘問(wèn)題(CDC,Clock Domain Crossing )是多時(shí)鐘設(shè)計(jì)中的常見現(xiàn)象。在FPGA領(lǐng)域,互動(dòng)的異步
    發(fā)表于 08-19 14:52 ?3272次閱讀

    揭秘FPGA時(shí)鐘處理的三大方法

    時(shí)鐘處理是 FPGA 設(shè)計(jì)中經(jīng)常遇到的問(wèn)題,而如何處理
    的頭像 發(fā)表于 12-05 16:41 ?1573次閱讀

    介紹3種方法時(shí)鐘處理方法

    時(shí)鐘處理是FPGA設(shè)計(jì)中經(jīng)常遇到的問(wèn)題,而如何處理時(shí)
    的頭像 發(fā)表于 09-18 11:33 ?2.2w次閱讀
    介紹3種方法<b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b><b class='flag-5'>處理</b>方法

    基于FPGA的時(shí)鐘信號(hào)處理——MCU

    問(wèn)題,不過(guò)請(qǐng)注意,今后的這些關(guān)于異步信號(hào)處理的文 章里將會(huì)重點(diǎn)從工程實(shí)踐的角度出發(fā),以一些特權(quán)同學(xué)遇到過(guò)的典型案例的設(shè)計(jì)為依托,從代碼的角度來(lái)剖析一些特權(quán)同學(xué)認(rèn)為經(jīng)典的時(shí)鐘
    發(fā)表于 11-01 16:24 ?11次下載
    基于FPGA的<b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>信號(hào)<b class='flag-5'>處理</b>——MCU

    時(shí)鐘電路設(shè)計(jì)總結(jié)

    時(shí)鐘操作包括同步時(shí)鐘操作和異步
    的頭像 發(fā)表于 05-18 09:18 ?668次閱讀
    <b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b><b class='flag-5'>電路</b>設(shè)計(jì)總結(jié)

    FPGA時(shí)鐘處理方法(二)

    上一篇文章已經(jīng)講過(guò)了單bit時(shí)鐘處理方法,這次解說(shuō)一下多bit的時(shí)鐘
    的頭像 發(fā)表于 05-25 15:07 ?920次閱讀
    FPGA<b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b><b class='flag-5'>處理</b>方法(二)