0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

testbench是什么? testbench測試的機(jī)制是什么?

冬至子 ? 來源:玩兒轉(zhuǎn)FPGA ? 作者:東哥 ? 2023-06-28 16:44 ? 次閱讀

01

testbench是什么

廢話不多說直接上干貨,testbench就是對寫的FPGA文件進(jìn)行測試的文件,可以是verilog也可以是VHDL。

verilog和VHDL的國際標(biāo)準(zhǔn)里面有很多不能被綜合實(shí)現(xiàn)的語句,比如initial,forever,repeat,延時語句#1等等,這些語句就是用來測試的時候使用的。運(yùn)行環(huán)境一般是ise或者vivado自帶的仿真工具,或者如modelsim一樣的第三方仿真工具。

02

testbench測試的機(jī)制是什么

任何一個設(shè)計(jì)好的模塊(比如第一講的PWM產(chǎn)生模塊),都有輸入和輸出,此模塊是否滿足要求就是看給定滿足要求的輸入,是否能夠得到滿足要求的輸出。所以testbench的測試機(jī)制就是 :用各種verilog或者VHDL語法,產(chǎn)生滿足條件的激勵信號(也就是對被模塊的輸入),同時對模塊的輸出進(jìn)行捕捉,測試輸出是否滿足要求 。如下圖,產(chǎn)生激勵輸出驗(yàn)證模塊兩個模塊都屬于testbench,最好的輸出驗(yàn)證模塊最終只需要給一個pass和fail的答案出來就可以了。不管是用一個信號表示pass和fail還是用$display()函數(shù)打印,最終簡單明了的給出過或者不過的信息就好了。請大家寫仿真文件的時候盡量做到這點(diǎn)。

圖片

03

testbench運(yùn)行順序之謎

首先,跟大家解釋一點(diǎn),所有testbench本質(zhì)上都是串行執(zhí)行,因?yàn)樵?a href="http://srfitnesspt.com/v/tag/132/" target="_blank">CPU環(huán)境下,沒有可靠并行執(zhí)行的能力。所有并行的語句,比如兩個always模塊,fork join語句塊,都是軟件模擬并行執(zhí)行的。所以老一點(diǎn)的編譯器,信號定義要在initial語句前面,initial的信號要先有初始值后面的語句才能從給定初值開始執(zhí)行。所以大家寫testbench的時候,要注意,最好先定義信號,再寫initial語句,后面的語句交換順序不影響,軟件可以識別并按照IEEE標(biāo)準(zhǔn)的順序去執(zhí)行。

如果一個模塊里面想用并行執(zhí)行語句用fork join語句,順序執(zhí)行用begin end語句。initial語句可以寫多個,都是并行執(zhí)行的,當(dāng)兩個信號在initial沖突的時候,會先執(zhí)行前面的initial的值。

04

常用testbench語句總結(jié)

時鐘產(chǎn)生——always begin clk = 0; #1 clk = 1; #1; end 。注意這里的always后面沒有@!沒有@的時候always代表永遠(yuǎn)循環(huán)執(zhí)行begin end之間的語句,如果有@,后面一定要加時鐘,代表時鐘邊沿來到的時候順序執(zhí)行begin end里面的語句。沒有@的always語句不可綜合。

時間軸設(shè)置——'timescale 仿真時間單位/時間精度; 比如`timescale 1ns / 1ps;代表仿真的時間軸單位是1ns,仿真工具仿真的最大精度只到1ps內(nèi)的邏輯變化。

延時語句——#n; 代表延時n個時間軸單位。比如之前定義了`timescale 1ns / 1ps;如果后面寫 #3;就代表延時3ns而不是延時3ps。

初始化——initial begin a=0; #100; a=1; end。testbench在運(yùn)行起來之后,第一個進(jìn)入初始化語句,并且只執(zhí)行一次停在最后一句,然后才是后面的語句。由于begin end里面的語句是串行執(zhí)行的,所以這句話代表a低電平100ns后永遠(yuǎn)為高。復(fù)位信號常常這樣產(chǎn)生。

系統(tǒng)函數(shù)——**stop;代表運(yùn)行到這一句停止仿真,**dispaly("pass");代表在命令行顯示pass這串字符。

等待語句——wait(條件表達(dá)式) 語句/語句塊; 語句塊可以是串行塊(begin…end)或并行塊(fork…join)。當(dāng)邏輯表達(dá)式為“真”時,語句塊立即得到執(zhí)行;否則,暫停進(jìn)程并等待,直到邏輯表達(dá)式變?yōu)椤罢妗?,再開始執(zhí)行后面的語句。

關(guān)于verilog測試激勵的語法請打開,里面有所有的仿真激勵:

打開菜鳥教程——資料下載——選擇“verilog最經(jīng)典中文教程”

另外提一句:學(xué)verilog要知道verilog語句的執(zhí)行順序和機(jī)制,生成的對應(yīng)時序,哪些語句可綜合哪些不可綜合。這是最基礎(chǔ)的要求。

05

其實(shí)看了之前的鏈接大部分大家應(yīng)該都能看明白了,現(xiàn)在只揀之前沒講的代碼講。

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA設(shè)計(jì)
    +關(guān)注

    關(guān)注

    9

    文章

    428

    瀏覽量

    26449
  • 交換機(jī)
    +關(guān)注

    關(guān)注

    20

    文章

    2600

    瀏覽量

    98883
  • VHDL語言
    +關(guān)注

    關(guān)注

    1

    文章

    113

    瀏覽量

    17958
  • PWM模塊
    +關(guān)注

    關(guān)注

    0

    文章

    13

    瀏覽量

    9419
收藏 人收藏

    評論

    相關(guān)推薦

    怎樣在Verilog寫的testbench測試VHDL模塊??

    怎樣在Verilog寫的testbench測試VHDL模塊??一個vhdl的工程模塊,怎么用verilog寫testbench 來調(diào)用模塊仿真??!真心求幫助
    發(fā)表于 08-01 22:54

    testbench 設(shè)計(jì)教程

    testbench 設(shè)計(jì)教程
    發(fā)表于 09-12 12:06

    分享一些testbench的文檔教你如何寫好testbench

    這個論壇感覺說testbench的略少,分享一些testbench的文檔。。。。。
    發(fā)表于 09-08 18:03

    testbench設(shè)置的問題

    本帖最后由 平漂流 于 2017-5-21 11:09 編輯 如圖,看Verilog仿真視頻教程里面,在testbench設(shè)置時候,直接復(fù)制“blocking_vlg_tst”到top
    發(fā)表于 05-21 11:04

    LFSR testbench V1.2

    LFSR testbench The LFSR testbench can help you understand the LFSR basics: 1. Change
    發(fā)表于 05-14 11:18 ?50次下載

    Writing Testbench

    Writing Testbench:The Quebec Bridge Company was formed in 1887 and for the nextthirteen years, very
    發(fā)表于 07-10 17:30 ?0次下載
    Writing <b class='flag-5'>Testbench</b>

    編寫高效率的testbench

    編寫高效率的testbench,學(xué)習(xí)編寫測試文件的小伙伴們。
    發(fā)表于 05-11 16:40 ?16次下載

    testbench_book

    verilog Testbench
    發(fā)表于 12-13 22:20 ?3次下載

    testbench怎么寫_testbench經(jīng)典教程VHDL

     testbench是一種驗(yàn)證的手段。首先,任何設(shè)計(jì)都是會有輸入輸出的。但是在軟環(huán)境中沒有激勵輸入,也不會對你設(shè)計(jì)的輸出正確性進(jìn)行評估。那么此時便有一種,模擬實(shí)際環(huán)境的輸入激勵和輸出校驗(yàn)的一種“虛擬
    發(fā)表于 12-01 17:22 ?5.6w次閱讀
    <b class='flag-5'>testbench</b>怎么寫_<b class='flag-5'>testbench</b>經(jīng)典教程VHDL

    簡單的Testbench設(shè)計(jì)

    testbench是一種驗(yàn)證的手段。首先,任何設(shè)計(jì)都是會有輸入輸出的。但是在軟環(huán)境中沒有激勵輸入,也不會對你設(shè)計(jì)的輸出正確性進(jìn)行評估。那么此時便有一種,模擬實(shí)際環(huán)境的輸入激勵和輸出校驗(yàn)的一種“虛擬
    的頭像 發(fā)表于 03-08 14:35 ?2399次閱讀

    使用Matlab和Verilog實(shí)現(xiàn)fibonacci序列包括源代碼和testbench

    使用Matlab和Verilog實(shí)現(xiàn)fibonacci序列包括源代碼和testbench(電源技術(shù)論壇app)-使用Matlab和Verilog實(shí)現(xiàn)fibonacci序列,包括源代碼和testbench,適合感興趣的學(xué)習(xí)者學(xué)習(xí),可以提高自己的能力,大家可以多交流哈
    發(fā)表于 09-16 14:41 ?13次下載
    使用Matlab和Verilog實(shí)現(xiàn)fibonacci序列包括源代碼和<b class='flag-5'>testbench</b>

    Verilog testbench總結(jié)

    對于testbench而言,端口應(yīng)當(dāng)和被測試的module一一對應(yīng)。端口分為input,output和inout類型產(chǎn)生激勵信號的時候,input對應(yīng)的端口應(yīng)當(dāng)申明為reg, output對應(yīng)的端口申明為wire,inout端口比較特殊,下面專門講解。
    發(fā)表于 07-07 15:23 ?4600次閱讀

    典型的UVM Testbench架構(gòu)

    UVM類庫提供了通用的代碼功能,如component hierarchy、transaction level model(TLM),configuration database等等,使用戶能夠創(chuàng)建任何類型的Testbench架構(gòu)。
    的頭像 發(fā)表于 05-22 10:14 ?1955次閱讀
    典型的UVM <b class='flag-5'>Testbench</b>架構(gòu)

    Verilog Testbench怎么寫 Verilog Testbench文件的編寫要點(diǎn)

    熟練了一點(diǎn)、但是整體編寫下來比較零碎不成體系,所以在這里簡要記錄一下一般情況下、針對小型的verilog模塊進(jìn)行測試時所需要使用到的testbench文件的編寫要點(diǎn)。
    的頭像 發(fā)表于 08-01 12:44 ?3257次閱讀
    Verilog <b class='flag-5'>Testbench</b>怎么寫 Verilog <b class='flag-5'>Testbench</b>文件的編寫要點(diǎn)

    Testbench的基本組成和設(shè)計(jì)規(guī)則

    ??對于小型設(shè)計(jì)來說,最好的測試方式便是使用TestBench和HDL仿真器來驗(yàn)證其正確性。一般TestBench需要包含這些部分:實(shí)例化待測試設(shè)計(jì)、使用
    的頭像 發(fā)表于 09-01 09:57 ?1062次閱讀
    <b class='flag-5'>Testbench</b>的基本組成和設(shè)計(jì)規(guī)則