0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA設(shè)計(jì)流程

jf_79100707 ? 來源:jf_79100707 ? 作者:jf_79100707 ? 2023-07-04 12:06 ? 次閱讀

FPGA的設(shè)計(jì)流程主要包括HDL代碼編寫、RTL綜合、布局布線、靜態(tài)時(shí)序分析、生成下載文件。下面將逐一介紹各部分。下面是FPGA設(shè)計(jì)的流程圖。

pYYBAGSjm42AQj2YAAA2POqSt54863.png

1、HDL代碼編寫

行為語言是目前使用較多的高層硬件描述語言,主要有VHDL和Verilog HDL兩個(gè)IEEE標(biāo)準(zhǔn)。在使用黑金FPGA開發(fā)板進(jìn)行學(xué)習(xí)的過程中使用較多的是HDL。其突出優(yōu)點(diǎn)有:語言與工藝的無關(guān)性;語言的公開可利用性;具有很強(qiáng)的邏輯描述和仿真功能,且輸入效率高;在不同的設(shè)計(jì)輸入庫之間轉(zhuǎn)換方便。

之后進(jìn)行功能仿真是在編譯之前對(duì)用戶所設(shè)計(jì)的電路進(jìn)行邏輯功能驗(yàn)證,此時(shí)的仿真沒有延時(shí)信息只對(duì)初步的功能進(jìn)行檢測(cè)。

2、RTL綜合

將模塊化層次化設(shè)計(jì)的多個(gè)文件合并為一個(gè)網(wǎng)表,使設(shè)計(jì)層次平面化。

3、布局布線

將已經(jīng)分割的邏輯小塊放到器件內(nèi)部邏輯資源的具體位置并利用布線資源完成各個(gè)功能塊之間的連接。

4、靜態(tài)時(shí)序分析

靜態(tài)時(shí)序分析主要進(jìn)行時(shí)序仿真,它又稱為后仿真或者延時(shí)仿真。由于不同器件的內(nèi)部延時(shí)不一樣,不同的布局布線方案也會(huì)影響延時(shí)。因此需要在設(shè)計(jì)過程中進(jìn)行時(shí)序仿真,分析其時(shí)序關(guān)系,估計(jì)設(shè)計(jì)的性能,以及檢查和消除競(jìng)爭(zhēng)冒險(xiǎn)等是非常有必要的。

5、生成下載文件

在時(shí)序仿真完成之后產(chǎn)生可供器件編程的位流數(shù)據(jù)文件,然后將編程數(shù)據(jù)寫入到器件中。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600454
  • FPGA設(shè)計(jì)
    +關(guān)注

    關(guān)注

    9

    文章

    428

    瀏覽量

    26444
  • HDL
    HDL
    +關(guān)注

    關(guān)注

    8

    文章

    324

    瀏覽量

    47282
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    如何利用Tcl在Vivado中實(shí)現(xiàn)定制化的FPGA設(shè)計(jì)流程

    FPGA 的設(shè)計(jì)流程簡單來講,就是從源代碼到比特流文件的實(shí)現(xiàn)過程。大體上跟 IC 設(shè)計(jì)流程類似,可以分為前端設(shè)計(jì)和后端設(shè)計(jì)。
    的頭像 發(fā)表于 04-23 09:08 ?2090次閱讀
    如何利用Tcl在Vivado中實(shí)現(xiàn)定制化的<b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>流程</b>?

    Xilinx_fpga_設(shè)計(jì)流程

    Xilinx_fpga_設(shè)計(jì)流程
    發(fā)表于 08-02 23:51

    華為FPGA設(shè)計(jì)流程指南

    華為FPGA設(shè)計(jì)流程指南
    發(fā)表于 09-03 10:47

    發(fā)個(gè)FPGA的設(shè)計(jì)流程

    FPGA的設(shè)計(jì)流程
    發(fā)表于 09-09 12:04

    華為FPGA設(shè)計(jì)流程指南

    前言 本部門所承擔(dān)的FPGA設(shè)計(jì)任務(wù)主要是兩方面的作用:系統(tǒng)的原型實(shí)現(xiàn)和ASIC的原型驗(yàn)證。編寫本流程的目的是:l在于規(guī)范整個(gè)設(shè)計(jì)流程,實(shí)現(xiàn)開發(fā)的合理性、一致性、高效性。l形成風(fēng)格良好和完整的文檔
    發(fā)表于 12-08 14:47

    華為FPGA設(shè)計(jì)流程指南

    華為FPGA設(shè)計(jì)流程指南本部門所承擔(dān)的FPGA設(shè)計(jì)任務(wù)主要是兩方面的作用:系統(tǒng)的原型實(shí)現(xiàn)和ASIC的原型驗(yàn)證。編寫本流程的目的是:l在于規(guī)范整個(gè)設(shè)計(jì)
    發(fā)表于 12-18 10:45

    FPGA的設(shè)計(jì)流程是怎樣的

    第二章 FPGA 開發(fā)流程FPGA 的設(shè)計(jì)流程就是利用 EDA 開發(fā)軟件和編程工具對(duì) FPGA 芯片進(jìn)行開發(fā)的過程。原理圖和HDL(Hard
    發(fā)表于 02-23 06:23

    Alter FPGA的設(shè)計(jì)流程以及DSP設(shè)計(jì)

    Alter FPGA的設(shè)計(jì)流程以及DSP設(shè)計(jì).
    發(fā)表于 03-16 15:52 ?127次下載
    Alter <b class='flag-5'>FPGA</b>的設(shè)計(jì)<b class='flag-5'>流程</b>以及DSP設(shè)計(jì)

    FPGA設(shè)計(jì)流程指南

    FPGA設(shè)計(jì)的流程,步驟,選型,仿真,軟硬件設(shè)計(jì),調(diào)試流程。
    發(fā)表于 05-11 14:33 ?29次下載

    從賽靈思FPGA設(shè)計(jì)流程看懂FPGA設(shè)計(jì)

    不斷 從賽靈思FPGA設(shè)計(jì)流程看懂FPGA設(shè)計(jì) 1.XILINX ISE傳統(tǒng)FPGA設(shè)計(jì)流程 利用XilinxISE軟件開發(fā)
    發(fā)表于 02-20 20:32 ?1.6w次閱讀
    從賽靈思<b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>流程</b>看懂<b class='flag-5'>FPGA</b>設(shè)計(jì)

    FPGA設(shè)計(jì)流程“又臭又長”?從eda工具理解超級(jí)簡單!

    對(duì)于初學(xué)者而言,FPGA的設(shè)計(jì)流程是否顯的“又臭又長”呢??嗬嗬,如果真的有這樣的感覺,沒有關(guān)系,下面我就通過對(duì)軟體的使用來了解FPGA的設(shè)計(jì)流程
    發(fā)表于 06-02 07:17 ?1273次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>流程</b>“又臭又長”?從eda工具理解超級(jí)簡單!

    FPGA的設(shè)計(jì)流程是怎么樣的?FPGA設(shè)計(jì)流程指南詳細(xì)資料免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA的設(shè)計(jì)流程是怎么樣的?FPGA設(shè)計(jì)流程指南詳細(xì)資料免費(fèi)下載內(nèi)容包括了:1.基于HDL 的FPGA 設(shè)計(jì)
    發(fā)表于 10-17 17:50 ?30次下載
    <b class='flag-5'>FPGA</b>的設(shè)計(jì)<b class='flag-5'>流程</b>是怎么樣的?<b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>流程</b>指南詳細(xì)資料免費(fèi)下載

    FPGA設(shè)計(jì)流程及原理

    01 FPGA的設(shè)計(jì)流程 FPGA是可編程芯片,因此FPGA的設(shè)計(jì)內(nèi)容包括硬件設(shè)計(jì)和軟件設(shè)計(jì)兩部分。硬件包括FPGA芯片電路、 存儲(chǔ)器、輸入
    的頭像 發(fā)表于 11-12 18:22 ?6403次閱讀

    華為FPGA設(shè)計(jì)流程指南電子版下載

    華為FPGA設(shè)計(jì)流程指南電子版下載
    發(fā)表于 06-04 11:03 ?0次下載

    FPGA設(shè)計(jì)流程指南

    FPGA設(shè)計(jì)流程指南
    發(fā)表于 11-02 16:29 ?9次下載