0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

嵌入式視頻處理系統(tǒng)領(lǐng)域的FPGA驗證

jf_pJlTbmA9 ? 2023-10-27 17:34 ? 次閱讀

要點

FPGA能滿足目前的視頻處理系統(tǒng)的需要。

在視頻處理應(yīng)用領(lǐng)域,必須格外重視測試臺設(shè)計。

應(yīng)使驗證環(huán)境盡可能接近真實世界,這將使電路板級的集成變得容易,并減少重制需要。

參與消費電子細分市場,會帶來許多優(yōu)勢。盡管如此,該細分市場的設(shè)計團隊仍將面臨急劇收縮的上市時間窗口。因此,基于FPGA的設(shè)計已經(jīng)演變成為許多系統(tǒng)體系結(jié)構(gòu)設(shè)計者的首選。同時,人們對消費品中的多媒體功能的需求日益增多,這使得DSP和流傳輸接口成為許多嵌入式產(chǎn)品中必備的部件。幾家FPGA廠商開發(fā)了帶有DSP核心與流傳輸接口的FPGA,它們在技術(shù)和復(fù)雜度上均足以應(yīng)對最近這些設(shè)計要求。

但是,如果FPGA通過接口與DSP核心連接,并且高速視頻數(shù)據(jù)是通過它來傳輸,那么它根本不是簡單的系統(tǒng)。這種更高的設(shè)計復(fù)雜度導(dǎo)致了額外的驗證難題,并且如果您在設(shè)計階段晚期發(fā)現(xiàn)一處重大錯誤,那么這還會導(dǎo)致高成本的系統(tǒng)板重制。為了消除這一隱患,您必須仔細考慮自己采用的驗證方法,以便降低重制風(fēng)險。

對基于FPGA的設(shè)計進行驗證,其最大優(yōu)勢在于,系統(tǒng)在最低級別擁有預(yù)先定義的體系結(jié)構(gòu),因此您在設(shè)計伊始就知道測試必要場景的范圍。因此,驗證團隊可在FPGA基礎(chǔ)上構(gòu)建一個模仿實際系統(tǒng)體系結(jié)構(gòu)的驗證環(huán)境。

除了驗證外設(shè)之外,您還必須驗證FPGA內(nèi)部的各種設(shè)計元件,比如數(shù)字時鐘管理器(DCM)和塊RAM,您在自己的設(shè)計方案中會用到它們。但是這需要您驗證許多部件。因此測試完成時間會極大地影響產(chǎn)品開發(fā)總體時間。有鑒于此,驗證環(huán)境必須具有很高的時間效率。如果您在對FPGA設(shè)計元件和外圍器件有充分了解的前提下開發(fā)出恰當(dāng)?shù)尿炞C環(huán)境,那么該環(huán)境的初期設(shè)計可使您編寫出準(zhǔn)確的測試案例,并確認電路板設(shè)計。

基元的正確使用

FPGA廠商們提供DCM、塊RAM等經(jīng)過良好驗證的FPGA基元。但是,要想在FPGA設(shè)計中正確使用這些基元,您就必須遵循特定的指導(dǎo)方針。務(wù)必在設(shè)計方案變成芯片之前發(fā)現(xiàn)所有不正確的使用。例如,輸入時鐘上的允許時鐘抖動就是這樣一條DCM約束。在某個測試案例中,DCM在低頻模式時,對周期抖動的約束為±300ps。按照設(shè)計規(guī)格,DCM的輸入時鐘可以是16.384、22.5792或24.576MHz。但是在設(shè)計驗證期間,當(dāng)實驗人員把輸入時鐘從一個頻率切換到另一個頻率時,DCM解鎖了,這是因為頻率的切換違反了輸入時鐘抖動約束。因此,修改后的設(shè)計方案實現(xiàn)了一種機制,在改變輸入時鐘頻率的同時使DCM復(fù)位。如果您在前端驗證期間未能發(fā)現(xiàn)此類錯誤,那么在電路板設(shè)計確認期間,很有可能需要一周或更長時間來查明錯誤。

隨著技術(shù)的進步,F(xiàn)PGA目前已把塊RAM包含在內(nèi),后者可以是單端口或雙端口存儲器。如果是雙端口RAM,那么塊RAM允許兩個端口同時訪問同一個存儲單元。但是,如果設(shè)計者以不恰當(dāng)?shù)姆绞絹韺崿F(xiàn)RAM控制器,那么在同一個有效的寫周期當(dāng)中,兩個存儲器端口也許會試圖向相同的RAM位置寫入不同數(shù)據(jù)。驗證團隊必須為此類場景準(zhǔn)備單獨的測試。因此,F(xiàn)PGA設(shè)計者和FPGA驗證工程師都必須意識到FPGA內(nèi)部元件的要求或約束。

輸入信號的變化

在現(xiàn)實世界,F(xiàn)PGA的輸入信號具有路由路徑延遲和質(zhì)量退化現(xiàn)象。FPGA驗證計劃應(yīng)該考慮輸入激勵信號生成過程中的時序和信號完整性的這類變化。例如,一種很好的做法就是了解輸入信號偏離理想條件的漂移程度,這樣您就能驗證FPGA設(shè)計在漂移期間將順利發(fā)揮作用。當(dāng)接口同步,并且某個外部器件在驅(qū)動時鐘時,這項要求就會變得至關(guān)重要。根據(jù)路由路徑延遲、發(fā)射器件的時鐘至輸出延遲、接收器件的輸入設(shè)置時間的不同情況,數(shù)據(jù)、控制和時鐘可能會具有各自不同的延遲。在高頻工作期間,這一約束也許會給FPGA留下很窄的采樣窗口,供它記錄輸入數(shù)據(jù)。在這種情況下,在向FPGA設(shè)計提供激勵時,您就應(yīng)該考慮這類實時延遲。

在現(xiàn)實世界,輸入時鐘伴隨著抖動和漂移變化。雖然你可用DCM來處理這些變化,但DCM在輸入時鐘變化公差方面有自己的限制。驗證工程師必須知道在真實系統(tǒng)中可能出現(xiàn)的時鐘變化,并且在驗證環(huán)境中生成輸入時鐘時,包含相同的變化。采用這類做法可幫助發(fā)現(xiàn)FPGA設(shè)計方案的局限性,并在早期開發(fā)階段實施糾正行動。

外設(shè)的集成

流媒體的迅速成長要求各系統(tǒng)以更快的速度工作。對于頻率較高的系統(tǒng),您在集成FPGA及其外設(shè)器件時務(wù)必小心。這些外設(shè)器件在輸入設(shè)置和保持時間方面具有時序約束。驗證工程師必須知道所有外設(shè)器件的時序約束。如果添加示波器來驗證具有不同時序約束的FPGA設(shè)計方案,就會迫使設(shè)計者遵循恰當(dāng)?shù)脑O(shè)計指導(dǎo)方針,來使FPGA設(shè)計與系統(tǒng)兼容。

FPGA可以實現(xiàn)UARTI2C、SPI、GPIO等等標(biāo)準(zhǔn)接口。這些標(biāo)準(zhǔn)應(yīng)該指定這些端口的驗證策略。在驗證這類設(shè)計方案時,您還必須考慮那些使用定制接口的外設(shè)器件的時序約束。例如,F(xiàn)PGA的GPIO接口可與板上多路復(fù)用器連接。FPGA負責(zé)驅(qū)動多路復(fù)用器的選擇輸入,然后記錄它的輸出。一旦選擇輸入發(fā)生變化,多路復(fù)用器在其輸入端就需要穩(wěn)定時間。如果實現(xiàn)在生成驗證模型響應(yīng)過程中發(fā)生的這種延遲,就可確保FPGA僅在多路復(fù)用器的輸出穩(wěn)定后記錄它的輸出。

使各團隊同步工作

使受測FPGA設(shè)計方案感覺像是實時應(yīng)用軟件流,這是一種很好的做法。當(dāng)應(yīng)用軟件施加自己的某些限制時,看似在驗證測試臺上工作的FPGA設(shè)計方案也許無法正常運行。不妨設(shè)想某種用于高清視頻記錄的FPGA設(shè)計方案。FPGA必須記錄原始視頻數(shù)據(jù),并填充內(nèi)部FIFO緩沖器。DSP通過外部存儲器接口與FPGA連接,讀取FPGAFIFO緩沖器的內(nèi)容以便記錄視頻數(shù)據(jù)。利用來自真實應(yīng)用軟件流的時序信息,驗證工程師就能估計DSP在連續(xù)兩次FIFO緩沖器讀操作之間可能耗費的最長時間。工程師然后可以實現(xiàn)某個考慮了DSP上述限制的測試案例。如果FIFO緩沖器容量太小,無法緩沖連續(xù)兩次FIFO緩沖器讀操作之間的最長間隔期間到達的所有數(shù)據(jù),那么測試就會記錄一次錯誤。


視頻信號處理領(lǐng)域的問題與數(shù)據(jù)相關(guān)。因此應(yīng)在電路板設(shè)計確認期間使用不同類型的視頻圖形,這可能很重要。該方法有助于確保任何視頻流應(yīng)用的準(zhǔn)確視頻處理。隨著開源領(lǐng)域的進步,驗證工程師可隨時找到合適的開源軟件,來生成原始數(shù)據(jù)格式的此類測試圖形。驗證工程師可利用軟件或直接記錄來自某系統(tǒng)的圖形,由此生成原始數(shù)據(jù)文件等視頻圖形。選用這類方法后,驗證工程師就能在實時測試場景下發(fā)現(xiàn)設(shè)計錯誤,并在前端驗證期間糾正它們,而不必等到客戶碰巧應(yīng)用了某個視頻圖形使系統(tǒng)失靈后。

利用軟件或記錄直接來自某系統(tǒng)的圖形驗證工程師就能在實時測試場景下發(fā)現(xiàn)設(shè)計錯誤


在設(shè)計階段伊始,驗證團隊和軟件團隊在測試計劃中就應(yīng)該擁有一套共同的測試場景。這套共同的測試案例將確保電路板設(shè)計確認期間不存在漏洞。另外,設(shè)計者在設(shè)計階段初期還能發(fā)現(xiàn)或糾正任何實現(xiàn)錯誤或集成錯誤。

FPGA設(shè)計方案十分復(fù)雜,這就要求設(shè)計者在設(shè)計階段盡早發(fā)現(xiàn)問題,避免重制。在減少電路板設(shè)計確認期間出現(xiàn)的錯誤數(shù)量方面,驗證的作用變得很重要。驗證工程師應(yīng)仔細考慮系統(tǒng)體系結(jié)構(gòu),并應(yīng)具備外設(shè)硬件方面的工作知識,這將使他們能寫出更接近實時應(yīng)用的測試場景。這些萬法會使驗證工作變得很有效,最終使電路板設(shè)計確認工作變得很容易。

審核編輯 黃宇
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600505
  • 嵌入式
    +關(guān)注

    關(guān)注

    5052

    文章

    18912

    瀏覽量

    300787
  • 視頻處理
    +關(guān)注

    關(guān)注

    2

    文章

    98

    瀏覽量

    18792
收藏 人收藏

    評論

    相關(guān)推薦

    FPGA在圖像處理領(lǐng)域的優(yōu)勢有哪些?

    內(nèi)進行原型驗證和迭代。這使得FPGA在圖像處理領(lǐng)域具有更快的開發(fā)速度,能夠快速響應(yīng)市場需求,降低開發(fā)成本。 四、低功耗 FPGA的能耗相對較
    發(fā)表于 10-09 14:36

    嵌入式系統(tǒng)的未來趨勢有哪些?

    智能家居領(lǐng)域,嵌入式系統(tǒng)可以集成語音識別和自然語言處理技術(shù),去實現(xiàn)智能家電的語音控制。 2. 更強大的處理能力 在未來的
    發(fā)表于 09-12 15:42

    嵌入式微控制器與嵌入式微處理器的聯(lián)系

    嵌入式微控制器和嵌入式微處理器在嵌入式系統(tǒng)領(lǐng)域中都扮演著至關(guān)重要的角色,它們之間存在著緊密的聯(lián)系,同時也各具特色。以下是對兩者聯(lián)系及特點的詳細探討。
    的頭像 發(fā)表于 08-22 10:50 ?442次閱讀

    嵌入式熱門領(lǐng)域有哪些?

    嵌入式熱門領(lǐng)域有哪些? 當(dāng)前,嵌入式行業(yè)正處于快速發(fā)展階段,并在多個領(lǐng)域呈現(xiàn)出蓬勃的熱度。 物聯(lián)網(wǎng) 物聯(lián)網(wǎng)作為目前嵌入式行業(yè)的炙手可熱
    發(fā)表于 07-16 09:23

    PLC與嵌入式的區(qū)別是什么

    在工業(yè)自動化和嵌入式系統(tǒng)領(lǐng)域,PLC(Programmable Logic Controller,可編程邏輯控制器)和嵌入式系統(tǒng)是兩個常被提及的概念。它們各自具有獨特的特點和應(yīng)用場景,
    的頭像 發(fā)表于 05-28 16:22 ?4683次閱讀

    嵌入式微處理器的原理和應(yīng)用

    嵌入式微處理器是專為嵌入式系統(tǒng)設(shè)計的微處理器,它們是嵌入式系統(tǒng)的核心組件,負責(zé)執(zhí)行程序指令、
    的頭像 發(fā)表于 03-28 15:51 ?750次閱讀

    fpga嵌入式應(yīng)該學(xué)哪個

    FPGA嵌入式系統(tǒng)是兩個不同的領(lǐng)域,各有其特點和優(yōu)勢,選擇學(xué)習(xí)哪個取決于個人的興趣、職業(yè)目標(biāo)以及市場需求。
    的頭像 發(fā)表于 03-27 14:43 ?641次閱讀

    嵌入式fpga是什么意思

    嵌入式FPGA是指將FPGA技術(shù)集成到嵌入式系統(tǒng)中的一種解決方案。嵌入式
    的頭像 發(fā)表于 03-15 14:29 ?1119次閱讀

    嵌入式fpga的關(guān)系

    FPGA(現(xiàn)場可編程門陣列)和嵌入式系統(tǒng)之間存在密切的關(guān)系,它們都是計算機硬件領(lǐng)域的重要組成部分,并在許多應(yīng)用中發(fā)揮著關(guān)鍵作用。
    的頭像 發(fā)表于 03-15 14:19 ?740次閱讀

    fpga嵌入式

    FPGA(現(xiàn)場可編程門陣列)不是嵌入式系統(tǒng),但FPGA嵌入式系統(tǒng)中有著重要的應(yīng)用。
    的頭像 發(fā)表于 03-14 17:19 ?1994次閱讀

    fpga嵌入式的區(qū)別 嵌入式fpga開發(fā)有什么關(guān)系

    fpga嵌入式的區(qū)別 FPGA嵌入式系統(tǒng)在設(shè)計和應(yīng)用上存在一些關(guān)鍵的區(qū)別,具體如下: 靈活性:FPG
    的頭像 發(fā)表于 03-14 17:04 ?6478次閱讀

    fpga嵌入式哪個前景好

    FPGA嵌入式系統(tǒng)各有其獨特的優(yōu)勢和應(yīng)用領(lǐng)域,因此很難直接判斷哪個的前景更好。它們在不同的領(lǐng)域都有廣泛的應(yīng)用和重要的價值。
    的頭像 發(fā)表于 03-14 16:37 ?2162次閱讀

    什么是嵌入式系統(tǒng)?嵌入式系統(tǒng)的具體應(yīng)用

    嵌入式,一般是指嵌入式系統(tǒng)。用于控制、監(jiān)視或者輔助操作機器和設(shè)備的裝置。
    的頭像 發(fā)表于 12-20 13:33 ?2269次閱讀

    FPGA嵌入式設(shè)計帶來了強大的功能與靈活性

    解決之道。 隨著 FPGA 技術(shù)逐步延伸至軍事電子系統(tǒng)以及嵌入式電子產(chǎn)業(yè)的幾乎全部領(lǐng)域,能發(fā)揮可編程邏輯優(yōu)勢的應(yīng)用已經(jīng)占據(jù)主流地位。通信、機載和控制
    的頭像 發(fā)表于 12-07 09:35 ?443次閱讀

    基于Xilinx FPGA嵌入式系統(tǒng)設(shè)計

    點擊上方 藍字 關(guān)注我們 1 概述 1.1 FPGA的特點及其發(fā)展趨勢 嵌入式系統(tǒng)是一個面向應(yīng)用、技術(shù)密集、資金密集、高度分散、不可壟斷的產(chǎn)業(yè),隨著各個領(lǐng)域應(yīng)用需求的多樣化,
    的頭像 發(fā)表于 10-25 16:30 ?609次閱讀
    基于Xilinx <b class='flag-5'>FPGA</b>的<b class='flag-5'>嵌入式</b><b class='flag-5'>系統(tǒng)</b>設(shè)計