0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

32bit的浮點格式

sanyue7758 ? 來源:處芯積律 ? 2023-07-29 16:42 ? 次閱讀

前言

今天在網(wǎng)上看筆試題發(fā)現(xiàn)有個設計浮點累加器的題目,看了下題目說明感覺不太清楚,恰好記得之前做過浮點數(shù)的加法運算的設計,索性就改了下題目需求,作為一個小練習在重新設計一遍。具體設計要求如下:

設計需求

設計一個32bit浮點的加法器,out = A + B,假設AB均為無符號位,或者換個說法都為正數(shù)。

clk為系統(tǒng)時鐘;rst_n為系統(tǒng)復位,低有效;en信號控制數(shù)據(jù)輸入;busy指示模塊工作狀態(tài),busy拉高時,輸入無效;aIn和bIn是數(shù)據(jù)輸入,out_vld,指示輸出數(shù)據(jù)有效。

設計的信號列表如下:

module float_adder(
  input                clk,
  input              rst_n,
  input                 en, 
  input      [31:0]    aIn,
  input      [31:0]    bIn,
  output reg          busy,
  output reg       out_vld,   
  output reg [31:0]    out
);

32bit的浮點格式

EE標準754規(guī)定了三種浮點數(shù)格式:單精度、雙精度、擴展精度。前兩者正好對應C語言里頭的float、double或者FORTRAN里頭的real、double精度類型。本文設計實現(xiàn)的為單精度。

2adb25a2-2d60-11ee-815d-dac502259ad0.png單精度格式

單精度:N共32位,其中S占1位,E占8位,M占23位。

2af64cec-2d60-11ee-815d-dac502259ad0.png雙精度格式

雙精度:N共64位,其中S占1位,E占11位,M占52位。

浮點數(shù)的加法過程

運算過程:對階、尾數(shù)求和、規(guī)格化、舍入、溢出判斷

對階:

和定點數(shù)不相同的是,浮點數(shù)的指數(shù)量級不一定是一樣的,所以這也就意味著,尾數(shù)直接進行加法運算時會存在問題,也就需要首先對階數(shù)進行處理。該過程有點像科學計數(shù)法的加法處理,把科學計數(shù)法的指數(shù)化為一致,求出來指數(shù)相差多少,然后移位處理后再進行加法減法。所以這里處理也要先求階差。

如果把階碼大的向階碼小的看齊,就要把階碼大的數(shù)的尾數(shù)部分左移,階碼減小。這個操作有可能在移位過程中把尾數(shù)的高位部分移掉,這樣就引發(fā)了數(shù)據(jù)的錯誤,所以,尾數(shù)左移在計算機運算中不可取。

如果把階碼小的向階碼大的看齊,在移位過程中如果發(fā)生數(shù)據(jù)丟失,也是最右邊的數(shù)據(jù)位發(fā)生丟失,最右邊的數(shù)據(jù)位丟失,只會影響數(shù)據(jù)的精度,不會影響數(shù)據(jù)的大小。

尾數(shù)求和

這里就是常規(guī)的補碼加法。

規(guī)格化:

右規(guī)(尾數(shù)的絕對值太大時,右規(guī))尾數(shù)右移一位,階碼加1。當尾數(shù)溢出( >1 )時,需要右規(guī)。是否溢出,可以通過兩位的符號位得出:即尾數(shù)出現(xiàn)01.xx…xx或10.xx…xx(兩位符號位不同)

提高浮點數(shù)的表示精度,這里設計考慮比較簡單,我只考慮了同號數(shù)據(jù)相加的情況,所以這里只設計了右規(guī)的情況,不考慮符號位。

舍入判斷:

這里直接用截斷處理的方式,針對數(shù)據(jù)相加上溢的情況,規(guī)定了運算后上溢后將數(shù)據(jù)規(guī)定為最大值。

實現(xiàn)代碼

module float_adder(
  input                clk,
  input              rst_n,
  input                 en, 
  input      [31:0]    aIn,
  input      [31:0]    bIn,
  output reg          busy,
  output reg       out_vld,   
  output reg [31:0]    out
);
//運算過程:對階、尾數(shù)求和、規(guī)格化、舍入、溢出判斷
//分離階數(shù)、尾數(shù)
wire signal_bit = aIn[31];
wire [7:0] pow_a = aIn[30:23];
wire [7:0] pow_b = bIn[30:23];


wire [22:0] val_a = aIn[22:0];
wire [22:0] val_b = bIn[22:0];


//找到輸入指數(shù)階數(shù)較大,和階數(shù)差
//對階:在計算機中,采用小階向大階看齊的方法,實現(xiàn)對階。即右移
reg [22:0] pow_max ;
reg [23:0] pow_dif ;
reg [22:0] val_max ;
reg [22:0] val_min ;
reg en_dly0;
always @(posedge clk or negedge rst_n) begin
  if(rst_n==0)begin
    pow_max <= 'd0;
    val_max <= 'd0;
    val_min <= 'd0;
    pow_dif <= 'd0;
    en_dly0 <= 'd0;
  end
  else if( en == 1 && busy == 0)begin
    if(pow_a >= pow_b)begin
      pow_max <= pow_a;
      val_max <= val_a;
      val_min <= val_b;
      en_dly0 <= 'd1;
      if ( pow_a - pow_b > 'd23) begin
        pow_dif <= 'd23;
      end 
      else begin
        pow_dif <= pow_a - pow_b;
      end
    end
    else begin
      pow_max <= pow_b;
      val_max <= val_b;
      val_min <= val_a;
      en_dly0 <= 'd1;
      if ( pow_b - pow_a > 'd23) begin
        pow_dif <= 'd23;
      end 
      else begin
        pow_dif <= pow_b - pow_a;
      end
    end
  end
  else begin
    pow_max <= pow_max;
    val_max <= val_max;
    val_min <= val_min;
    pow_dif <= pow_dif;
    en_dly0 <= 'd0;
  end
end


//移位忙指示信號
reg shift_busy;
reg [4:0] shift_cnt;
always @(posedge clk or negedge rst_n) begin
  if (rst_n==0) begin
    shift_busy<='d0;
  end
  else if(en_dly0 == 1 )begin
    shift_busy <='d1;
  end
  else if(shift_cnt == pow_dif)begin
    shift_busy <=  0;
  end
end


//移位計數(shù)


always @(posedge clk or negedge rst_n) begin
  if(rst_n == 0)begin
    shift_cnt <= 'd0;
  end
  else if (shift_busy ==1) begin
    if (shift_cnt == pow_dif) begin
      shift_cnt <= shift_cnt;
    end
    else begin
      shift_cnt <= shift_cnt + 1'b1;
    end
  end
  else begin
    shift_cnt <= 'd0;
  end
end
reg [22:0] val_shift;
always @(posedge clk or negedge rst_n) begin
  if(rst_n == 0)begin
    val_shift <= 'd0;
  end
  else if (en_dly0==1'b1) begin
    val_shift <= val_min;
  end
  else if (shift_busy == 1) begin
    val_shift <= {1'b0,val_shift[22:1]};
  end
  else begin
    val_shift <= val_shift;
  end
end


//尾數(shù)求和
wire val_add_flag = (shift_cnt == pow_dif)&&(shift_busy ==1);
reg [23:0] val_sum;
reg val_sum_vld;
always @(posedge clk or negedge rst_n) begin
  if (rst_n==0) begin
    val_sum<='d0;
    val_sum_vld<='d0;
  end
  else if(val_add_flag == 1)begin
    val_sum <= val_max + val_shift;
    val_sum_vld<='d1;
  end
  else begin
    val_sum <= val_sum;
    val_sum_vld<='d0;
  end
end


//規(guī)范
always @(posedge clk or negedge rst_n) begin
  if (rst_n==0) begin
    out<='d0;
    out_vld<='d0;
  end
  else if(val_sum_vld == 1)begin
    //尾數(shù)求和有溢出
    out_vld<='d1;
    out[31]<= signal_bit;
    if(val_sum[23] == 1 && out[30:23] == 8'hFF)begin
      out[30:23]<= 8'hFF;
      out[22:0] <= 23'h7F_FFFF;
    end
    else if(val_sum[23] == 1)begin
      out[30:23]<= pow_max + 1;
      out[22:0] <= val_sum[23:1];
    end
    else begin
      out[30:23]<= pow_max;
      out[22:0] <= val_sum[22:0];
  end 
  end
  else begin
    out <= out;
    out_vld<='d0;
  end
end


//運算忙指示
always @(posedge clk or negedge rst_n) begin
  if (rst_n==0) begin
    busy<='d0;
  end
  else if(en == 1 && busy == 0)begin
    busy<='d1;
  end
  else if(out_vld == 1 )begin
    busy<='d0;
  end
  else begin
    busy <= busy;
  end
end


endmodule

仿真代碼

這里簡單測試了下代碼的功能,模擬了連續(xù)輸入多個數(shù)據(jù),核查是否數(shù)據(jù)會影響正常計算過程。

`timescale 1ns/1ps
module float_adder_tb;


  // Parameters


  // Ports
  reg clk = 1;
  reg rst_n = 0;
  reg en = 0;
  reg [31:0] aIn;
  reg [31:0] bIn;
  wire busy;
  wire out_vld;
  wire [31:0] out;


  float_adder float_adder_dut (
    .clk (clk ),
    .rst_n (rst_n ),
    .en (en ),
    .aIn (aIn ),
    .bIn (bIn ),
    .busy (busy ),
    .out_vld (out_vld ),
    .out  ( out)
  );
  always
    #5  clk = ! clk ;
  initial begin
    rst_n = 0;
    #100;
    rst_n = 1;
    #100;
    aIn = {1'b0,8'd2,23'd7};
    bIn = {1'b0,8'd2,23'd8};
    en  = 1 ;
    #10;
    aIn = {1'b0,8'd0,23'd7};
    bIn = {1'b0,8'd2,23'd8};
    en  = 1 ;
    #1000;
    $finish;
  end


endmodule

仿真測試

從仿真測試中可以看出,當輸入信號連續(xù)輸入兩個浮點數(shù)時,在busy拉高狀態(tài)下,第二次輸入的數(shù)據(jù)無效,數(shù)據(jù)使能信號常為1,也不會影響正常模塊運算過程,只有在該次運算完成busy拉低后數(shù)據(jù)可重新加載。

2b09ab0c-2d60-11ee-815d-dac502259ad0.png仿真截圖

小結

本文的設計方法對于對階移位的操作需要循環(huán)操作,也即當階數(shù)相差較小時,結果輸出延遲較小,在極端情況下,比如階數(shù)差大于10,輸出延時會比階數(shù)差為0時,多10個周期,上限為23。如在實際使用時,對延時要求較小的情況,可針對移位操作部分,使用更多的資源來換取性能的提升??墒褂胏ase語句對具體情況進行遍歷。

針對原始題目中的累加操作,可將任意一個輸入和輸出相接,即可實現(xiàn)累加操作。此外,如果要實現(xiàn)異號加法情況,則需要仔細考慮對階,規(guī)格化等情況進行進一步設計。


聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 模塊
    +關注

    關注

    7

    文章

    2628

    瀏覽量

    47213
  • 數(shù)據(jù)

    關注

    8

    文章

    6760

    瀏覽量

    88619
  • 加法器
    +關注

    關注

    6

    文章

    183

    瀏覽量

    30039

原文標題:小結

文章出處:【微信號:處芯積律,微信公眾號:處芯積律】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    小弟新人一枚 求一份32bit浮點乘法器設計源代碼 謝謝各位大哥大姐

    小弟新人一枚 求一份32bit浮點乘法器設計verilog源代碼 謝謝各位大哥大姐
    發(fā)表于 09-14 15:40

    McASP采集這樣的時序,是按照16bit采集,還是32bit采集?從Linux用戶空間看,采集進來的數(shù)據(jù)是16bit還是32bit?

    32bit采集?2. 配置McASP的寄存器:XFMT的SLOT為32,WORD為16,RROT為4,MASK為0000FFFF是否正確?3. 從Linux用戶空間看,采集進來的數(shù)據(jù)是16bit還是32bit?
    發(fā)表于 05-28 10:22

    32bit MCU與16bit MCU的區(qū)別是什么

    bit 為一組。結論:不管是16-bit 還是 32-bit 的MCU,地址對應的最小單元都是1個byte,它們的區(qū)別在于一次訪問的最大內存是 32bit 還是 16
    發(fā)表于 11-01 07:12

    nFoece4 芯片組驅動32bit

    nFoece4 芯片組驅動32bit版.exe
    發(fā)表于 01-29 16:54 ?0次下載

    SIS 芯片組IDE驅動 32bit

    SIS 芯片組IDE驅動 32bit
    發(fā)表于 04-07 15:31 ?0次下載

    功能:浮點BCD碼轉換成格式浮點數(shù)

    功能:浮點BCD碼轉換成格式浮點數(shù) 入口條件:浮點BCD碼操作數(shù)在[R0]中。出口信息:轉換成的格式
    發(fā)表于 01-19 22:51 ?2284次閱讀

    華邦針對SiP市場推出32bit SDR/DDR利基型內存

    華邦電子針對系統(tǒng)級封裝(SiP)市場,推出最新65奈米制程32bit帶寬 32M / 64Mb SDR / DDR 利基型內存
    發(fā)表于 04-07 10:50 ?1497次閱讀

    淘汰32bit不只iOS 11 明年開始擴展至Mac 32bit應用程序

    在WWDC上,蘋果正式發(fā)布了iOS 11,這個全新的iOS系統(tǒng)在發(fā)布后被發(fā)現(xiàn)全面淘汰了32bit應用程序,亦意味了iPhone 5或以前的裝置將無法使用新系統(tǒng),而新系統(tǒng)之下還未升級及64bit
    發(fā)表于 06-07 16:34 ?963次閱讀

    32bit MCU 與 16bit MCU 的 區(qū)別

    bit 為一組。結論:不管是16-bit 還是 32-bit 的MCU,地址對應的最小單元都是1個byte,它們的區(qū)別在于一次訪問的最大內存是 32bit 還是 16
    發(fā)表于 10-25 16:36 ?11次下載
    <b class='flag-5'>32bit</b> MCU 與 16<b class='flag-5'>bit</b> MCU 的 區(qū)別

    單片機里面“”32bit地址“”與所指向的“8bit數(shù)據(jù)“的關系

    單片機里面“”地址“”與所指向的"數(shù)據(jù)"的關系單片機里面“”地址“”與所指向的"數(shù)據(jù)"的關系對于32bit單片機每一個32bit地址 指向 一個8bit的數(shù)據(jù)
    發(fā)表于 11-18 20:06 ?10次下載
    單片機里面“”<b class='flag-5'>32bit</b>地址“”與所指向的“8<b class='flag-5'>bit</b>數(shù)據(jù)“的關系

    8bit 8051/32bit Cortex-M0 Flash單片機產(chǎn)品選型手冊

    8bit 8051/32bit Cortex-M0 Flash單片機產(chǎn)品選型手冊免費下載。
    發(fā)表于 07-01 09:07 ?1次下載
    8<b class='flag-5'>bit</b> 8051/<b class='flag-5'>32bit</b> Cortex-M0 Flash單片機產(chǎn)品選型手冊

    RABPS 32bit by 3dmaniack 3dtoday (ARM BluePill Shield)已修復

    電子發(fā)燒友網(wǎng)站提供《RABPS 32bit by 3dmaniack 3dtoday (ARM BluePill Shield)已修復.zip》資料免費下載
    發(fā)表于 08-19 09:20 ?0次下載
    RABPS <b class='flag-5'>32bit</b> by 3dmaniack 3dtoday (ARM BluePill Shield)已修復

    怎么設計一個32bit浮點的加法器呢?

    設計一個32bit浮點的加法器,out = A + B,假設AB均為無符號位,或者換個說法都為正數(shù)。
    的頭像 發(fā)表于 06-02 16:13 ?1078次閱讀
    怎么設計一個<b class='flag-5'>32bit</b><b class='flag-5'>浮點</b>的加法器呢?

    使用STM32C0輕松實現(xiàn)從8bit32bit的平臺升級

    電子發(fā)燒友網(wǎng)站提供《使用STM32C0輕松實現(xiàn)從8bit32bit的平臺升級.pdf》資料免費下載
    發(fā)表于 07-29 11:27 ?0次下載
    使用STM32C0輕松實現(xiàn)從8<b class='flag-5'>bit</b>到<b class='flag-5'>32bit</b>的平臺升級

    毫米波雷達半精度浮點存儲格式分析

    本文介紹了TC3xx單片機雷達信號處理單元SPU支持的半精度浮點格式,將其和32bit整型數(shù)格式進行比較,分析了兩者的動態(tài)范圍及實際處理誤差,發(fā)現(xiàn)半精度
    的頭像 發(fā)表于 02-20 08:26 ?308次閱讀
    毫米波雷達半精度<b class='flag-5'>浮點</b>存儲<b class='flag-5'>格式</b>分析