0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

有限狀態(tài)機變量賦值的一些小概念

冬至配餃子 ? 來源:數(shù)值模擬交流之林 ? 作者:數(shù)值模擬交流之林 ? 2023-08-03 16:05 ? 次閱讀

變量的賦值

在轉(zhuǎn)換中執(zhí)行賦值時,所使用的值有明顯的區(qū)別。在下面的表達式中

圖片

藍色部分表示引發(fā)事件(也就是常說的e)時的值,綠色部分表示執(zhí)行所有激活鏈后將更新的值。對于以下狀態(tài)圖:

圖片

當處于狀態(tài) 1 并引發(fā)事件 e 時,執(zhí)行將按如下方式發(fā)生:

  • 轉(zhuǎn)到狀態(tài) 2,更新 x 的未來值。
  • 檢查優(yōu)先級為 1 的轉(zhuǎn)換,x 仍等于 0;無法進行過渡。
  • 檢查優(yōu)先級為 2 的轉(zhuǎn)換,該轉(zhuǎn)換為空且始終為 true;可以進行轉(zhuǎn)換,轉(zhuǎn)到狀態(tài) 4。
  • 激活鏈結(jié)束,x 的未來值成為它的實際值,所以 x 的值變成 1,我們停留在狀態(tài) 4。

感興趣的小伙伴可以思考一下為什么是狀態(tài)4而不是狀態(tài)3

賦值更新激活鏈末尾的變量或離散輸出的值。因此,當相同的值被分配兩次時,就會出現(xiàn)問題,我們應(yīng)該使用哪一個?

圖片

x 的值應(yīng)該是 1 還是值 18?為避免狀態(tài)圖執(zhí)行的歧義,禁止對激活鏈中的變量進行多次更新。為了打破鏈條,您必須為其中一個轉(zhuǎn)換添加一個觸發(fā)器:

圖片

這樣,狀態(tài) 2 和狀態(tài) 3 之間的轉(zhuǎn)換就不會直接進行;在重新更新變量 X 之前,必須在狀態(tài) 2 中引發(fā)事件 E。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 轉(zhuǎn)換器
    +關(guān)注

    關(guān)注

    27

    文章

    8578

    瀏覽量

    146549
  • 信號處理器
    +關(guān)注

    關(guān)注

    1

    文章

    250

    瀏覽量

    25219
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1992

    瀏覽量

    60978
  • 有限狀態(tài)機
    +關(guān)注

    關(guān)注

    0

    文章

    52

    瀏覽量

    10307
  • AMESIM
    +關(guān)注

    關(guān)注

    1

    文章

    15

    瀏覽量

    11700
收藏 人收藏

    評論

    相關(guān)推薦

    FPGA有限狀態(tài)機

    FPGA有限狀態(tài)機
    發(fā)表于 09-08 08:45

    有限狀態(tài)機有什么類型?

    在實際的應(yīng)用中,根據(jù)有限狀態(tài)機是否使用輸入信號,設(shè)計人員經(jīng)常將其分為Moore型有限狀態(tài)機和Mealy型有限狀態(tài)機兩種類型。
    發(fā)表于 04-06 09:00

    什么是有限狀態(tài)機

    在嵌入式,機器人領(lǐng)域,由于多的復(fù)雜邏輯狀態(tài),我們編寫程序的時候不得不考慮很多種情況,容易造成功能間的沖突。有限狀態(tài)機(finite-state machine),簡稱狀態(tài)機,是種表示
    發(fā)表于 12-20 06:51

    基于VHDL的MTM總線主模塊有限狀態(tài)機設(shè)計

    為了能夠更簡潔嚴謹?shù)孛枋鯩TM總線的主模塊有限狀態(tài)機狀態(tài)轉(zhuǎn)換,同時減少FPGA芯片功耗,提高系統(tǒng)穩(wěn)定性,文中在分析MTM總線結(jié)構(gòu)和主模塊有限狀態(tài)機模型的基礎(chǔ)上,基于VHDL語言采
    發(fā)表于 05-29 15:39 ?20次下載
    基于VHDL的MTM總線主模塊<b class='flag-5'>有限狀態(tài)機</b>設(shè)計

    有限狀態(tài)機_FSM_的實現(xiàn)

    本文主要介紹了IP模塊的有限狀態(tài)機的實現(xiàn)。
    發(fā)表于 03-22 15:42 ?0次下載

    有限狀態(tài)機的建模與優(yōu)化設(shè)計

    本文提出種優(yōu)秀 、高效的 Verilog HDL 描述方式來進行有限狀態(tài)機設(shè)計 介紹了 有限狀態(tài)機的建模原則 并通過個可綜合的實例 驗證了 該方法設(shè)計的
    發(fā)表于 03-22 15:19 ?1次下載

    VHDL有限狀態(tài)機設(shè)計-ST

    EDA的有限狀態(tài)機,廣義而言是指只要涉及觸發(fā)器的電路,無論電路大小都可以歸結(jié)為狀態(tài)機有限狀態(tài)機設(shè)計在學(xué)習(xí)EDA時是很重要的章。
    發(fā)表于 06-08 16:46 ?3次下載

    初學(xué)者對有限狀態(tài)機(FSM)的設(shè)計的認識

    有限狀態(tài)機(FSM)是種常見的電路,由時序電路和組合電路組成。設(shè)計有限狀態(tài)機的第步是確定采用Moore狀態(tài)機還是采用Mealy
    發(fā)表于 02-11 13:51 ?4216次閱讀
    初學(xué)者對<b class='flag-5'>有限狀態(tài)機</b>(FSM)的設(shè)計的認識

    如何使用FPGA實現(xiàn)序列檢測有限狀態(tài)機

    有限狀態(tài)機是絕大部分控制電路的核心結(jié)構(gòu), 是表示有限狀態(tài)以及在這些狀態(tài)之間轉(zhuǎn)移和動作等行為的數(shù)學(xué)模型。有限狀態(tài)機是指輸出取決于過去輸入部分
    發(fā)表于 11-04 17:17 ?12次下載
    如何使用FPGA實現(xiàn)序列檢測<b class='flag-5'>有限狀態(tài)機</b>

    有限狀態(tài)機設(shè)計是HDL Designer Series的關(guān)鍵應(yīng)用

    有限狀態(tài)機的設(shè)計是HDL Designer Series?工具的關(guān)鍵應(yīng)用。 盡可能地對于設(shè)計人員編寫導(dǎo)致狀態(tài)機性能不佳的VHDL,可以使用HDL Designer用于生成VHDL的Series?工具
    發(fā)表于 04-08 10:05 ?6次下載

    基于事件驅(qū)動的有限狀態(tài)機介紹

    ? 、介紹 EFSM(event finite state machine,事件驅(qū)動型有限狀態(tài)機),是個基于事件驅(qū)動的有限狀態(tài)機,主要應(yīng)用于嵌入式設(shè)備的軟件系統(tǒng)中。 EFSM的設(shè)計
    的頭像 發(fā)表于 11-16 15:29 ?2276次閱讀

    如何以面向?qū)ο蟮乃枷朐O(shè)計有限狀態(tài)機

    有限狀態(tài)機又稱有限狀態(tài)自動機,簡稱狀態(tài)機,是表示有限狀態(tài)以及在這些
    發(fā)表于 02-07 11:23 ?4次下載
    如何以面向?qū)ο蟮乃枷朐O(shè)計<b class='flag-5'>有限狀態(tài)機</b>

    基于事件驅(qū)動的有限狀態(tài)機介紹

    EFSM(event finite state machine,事件驅(qū)動型有限狀態(tài)機),是個基于事件驅(qū)動的有限狀態(tài)機,主要應(yīng)用于嵌入式設(shè)備的軟件系統(tǒng)中。
    的頭像 發(fā)表于 02-11 10:17 ?982次閱讀

    個基于事件驅(qū)動的有限狀態(tài)機

    EFSM(event finite state machine,事件驅(qū)動型有限狀態(tài)機),是個基于事件驅(qū)動的有限狀態(tài)機,主要應(yīng)用于嵌入式設(shè)備的軟件系統(tǒng)中。 EFSM的設(shè)計原則是:簡單!EFSM的使用者只需要關(guān)心:
    的頭像 發(fā)表于 08-30 09:28 ?743次閱讀
    <b class='flag-5'>一</b>個基于事件驅(qū)動的<b class='flag-5'>有限狀態(tài)機</b>

    有限狀態(tài)機分割設(shè)計

    有限狀態(tài)機分割設(shè)計,其實質(zhì)就是狀態(tài)機分割成多個狀態(tài)機
    的頭像 發(fā)表于 10-09 10:47 ?571次閱讀