0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

來看看“不約而同”的2nm時(shí)間軸進(jìn)程

傳感器技術(shù) ? 來源:集微網(wǎng) ? 2023-08-07 16:22 ? 次閱讀

從三強(qiáng)爭霸到四雄逐鹿,2nm的廝殺聲已然隱約傳來。

無論是老牌勁旅臺積電、三星,還是誓言重回先進(jìn)制程領(lǐng)先地位的英特爾,甚至初成立不久的新貴日本Rapidus,都將目光鎖定在了2025年,豪言實(shí)現(xiàn)2nm首發(fā)。

看起來,即將到來的2025年不僅是2nm制程的關(guān)鍵一年,更將是代工格局迎來重塑的拐點(diǎn)。只不過,誰能折桂這一榮耀?

爭先恐后

來看看“不約而同”的2nm時(shí)間軸進(jìn)程。

作為行業(yè)老大,臺積電稱將如期在2025年上線2nm工藝,2025年下半年進(jìn)入量產(chǎn)。2nm可謂是臺積電的一個重大節(jié)點(diǎn),該工藝將采用納米片晶體管(Nanosheet),取代FinFET,意味著臺積電工藝正式進(jìn)入GAA時(shí)代。

有報(bào)道稱,臺積電在前不久已開始了2nm工藝的預(yù)生產(chǎn),英偉達(dá)和蘋果有望成為首發(fā)客戶。

一直在“坐二望一”的三星在3nm率先以GAA開局,在2nm層面自然也志在必得:在其最新公布的第二季度財(cái)報(bào)中表示,2nm GAA的開發(fā)已步入正軌并進(jìn)展順利。

在之前三星也公布了2nm量產(chǎn)的具體時(shí)間表:自2025年起首先將該技術(shù)用于移動終端;到2026年將適用于采用背面供電技術(shù)的高性能計(jì)算;2027年將其用途擴(kuò)至汽車芯片。

反觀英特爾亦快馬加鞭。自宣布實(shí)施IDM2.0戰(zhàn)略以來,英特爾不遺余力四面出擊,著力向“四年五個制程節(jié)點(diǎn)”的目標(biāo)邁進(jìn),其中Intel 20A和Intel 18A分別對應(yīng)2nm和1.8nm制程,英特爾對此寄予厚望,激進(jìn)宣布Intel 20A計(jì)劃于2024年上半年投入使用,進(jìn)展良好的Intel 18A也將提前至2024年下半年進(jìn)入大批量制造,在時(shí)間上誓要先發(fā)制人。

作為后來者,承載日本代工業(yè)復(fù)興大計(jì)的Rapidus亦不甘示弱,前不久公布了最新的生產(chǎn)計(jì)劃,預(yù)計(jì)將在2025年試產(chǎn)2nm,采用IBM 2nm GAA技術(shù),目標(biāo)是2027年大規(guī)模量產(chǎn)。

2025年,或?qū)㈤_啟2nm的“華山論劍”大戲。不過業(yè)內(nèi)人士表示,誰家率先量產(chǎn)不是最重要的,就如三星率先量產(chǎn)3nm,但首先只是在挖礦芯片上采用,意義不太大,而且每家的2nm也涉及物理尺寸的不同,不能一概而論。

反超機(jī)會?

瞄準(zhǔn)2nm決戰(zhàn),對于三星還是英特爾來說的重要性還在于,他們均將2nm工藝視為其超越競爭對手并重返先進(jìn)制程領(lǐng)先地位的關(guān)鍵。

是什么給了他們底氣?

從三星來看,由于率先3nm制程中采用GAA架構(gòu),在GAA用于先進(jìn)制程方面擁有了率先量產(chǎn)和磨合的先發(fā)優(yōu)勢。此外,三星還開發(fā)了MBCFET晶體管專利技術(shù),為其2nm工藝競爭力再添籌碼。三星表示,與7nm FinFET相比,MBCFET可將功耗降低50%,性能提高30%,并將晶體管占用面積減少45%,提供了卓越的設(shè)計(jì)靈活性。

如果說每一代工藝有每一代的“絕活”,那么無疑背面供電(BSPDN)技術(shù)將是影響2nm對決之勢的一大因素,據(jù)稱,與 FSPDN前端供電網(wǎng)絡(luò)相比,BSPDN的性能提高了44%,能效提高了30%,三大巨頭也紛紛排兵布陣。

英特爾在這一技術(shù)層面看似先行破發(fā)。不僅將在Intel 20A制程率先采用RibbonFET架構(gòu)(相當(dāng)于GAA架構(gòu)),還將結(jié)合另一突破性技術(shù)背面供電PowerVia,這對晶體管微縮至關(guān)重要,可解決日益嚴(yán)重的互連挑戰(zhàn),提升芯片性能和能效。通過兩大技術(shù)的“聯(lián)合”,英特爾認(rèn)為這將是新的FinFET 時(shí)刻——參考英特爾2012年在22nm引入FinFET的榮光。

值得一提的是,英特爾在第二季度宣布率先在產(chǎn)品級測試芯片上已實(shí)現(xiàn)PowerVia,相比臺積電和三星領(lǐng)先兩年,將為英特爾的反超提供巨大的優(yōu)勢。

有消息稱,臺積電計(jì)劃在2026年推出N2P工藝,這一工藝將采用背面供電技術(shù),而且三星也將在2nm工藝采用BSPDN技術(shù)。

相較之下,2nm是臺積電首次從FinFET轉(zhuǎn)至GAA,在架構(gòu)遷移上相當(dāng)于“落后”于三星。盡管臺積電宣稱,已在N2硅的良率和性能方面都取得了“扎實(shí)的進(jìn)展”,但業(yè)內(nèi)也有質(zhì)疑說臺積電的2nm GAA工藝有良率“翻車”的風(fēng)險(xiǎn)。

知名分析師陸行之在媒體直言,如果臺積電研發(fā)速度太慢,2nm再跟3nm一樣,離5nm間隔3~4年,就很可能被超車,并稱“一些設(shè)備商比較看好英特爾2nm/1.8nm進(jìn)度,臺積電內(nèi)部也挺緊張的,到處打探消息”,但他同時(shí)也認(rèn)為臺積電有強(qiáng)大的執(zhí)行力。

饒是如此,臺積電的綜合實(shí)力依舊不容小覷。以賽亞調(diào)研(Isaiah Research)認(rèn)為,臺積電和三星有更大的機(jī)會率先實(shí)現(xiàn)2nm量產(chǎn),因?yàn)檫@兩大巨頭過去在先進(jìn)制程的良率和量產(chǎn)方面表現(xiàn)相對出色。

對此集微咨詢也分析,臺積電和三星在先進(jìn)工藝技術(shù)領(lǐng)域一步一個腳印,積累更全面、更扎實(shí),向2nm推進(jìn)過程中相對率先實(shí)現(xiàn)的概率更高。英特爾雖實(shí)現(xiàn)了7nm,但在5nm和3nm節(jié)點(diǎn)層面尚需積累量產(chǎn)和磨合經(jīng)驗(yàn),直接跳至2nm扭轉(zhuǎn)局面仍面臨一定挑戰(zhàn)。

先進(jìn)封裝的X因素

看起來2nm是工藝的決戰(zhàn),但其實(shí)先進(jìn)封裝的重要性已然不可忽視。

先進(jìn)封裝與制程工藝可謂相輔相成,其在提高芯片集成度、加強(qiáng)互聯(lián)、性能優(yōu)化的過程中扮演了重要角色,成為助力系統(tǒng)性能持續(xù)提升的重要保障。為在工藝節(jié)點(diǎn)獲得更大的贏面,押注先進(jìn)封裝已成為三大巨頭的“顯性”選擇。

近些年來,英特爾、三星和臺積電一直在穩(wěn)步投資先進(jìn)封裝技術(shù),各自表現(xiàn)也可圈可點(diǎn)。

綜合來看,在先進(jìn)封裝領(lǐng)域,臺積電的領(lǐng)先地位依舊凸顯。據(jù)了解,臺積電在先進(jìn)封裝上已獲得了可觀的收入體量,技術(shù)布局也進(jìn)入關(guān)鍵節(jié)點(diǎn),未來投入規(guī)模將持續(xù)加碼。尤其是在AI產(chǎn)能需求持續(xù)升級之下,臺積電正積極擴(kuò)充第六代2.5D先進(jìn)封裝技術(shù)CoWoS產(chǎn)能,將投資約28億美元打造先進(jìn)封裝廠,預(yù)計(jì)2026年底建廠完成、2027年第三季開始量產(chǎn),月產(chǎn)能達(dá)11萬片12英寸晶圓,涵蓋SoIC、InFO以及CoWoS等先進(jìn)封裝技術(shù)。

半導(dǎo)體知名專家莫大康就表示,臺積電在CoWoS的產(chǎn)能大增,將十分有利于其爭取2nm討單。而時(shí)刻保持“兩手抓”,也讓臺積電的護(hù)城河愈加深厚。

英特爾也不逞多讓。通過多年技術(shù)探索,相繼推出了EMIB、Foveros和Co-EMIB等多種先進(jìn)封裝技術(shù),在互連密度、功率效率和可擴(kuò)展性三個方面持續(xù)精進(jìn)。在今年5月,英特爾發(fā)布了先進(jìn)封裝技術(shù)藍(lán)圖,計(jì)劃將傳統(tǒng)基板轉(zhuǎn)為更為先進(jìn)的玻璃材質(zhì)基板,以實(shí)現(xiàn)新的超越。而且,英特爾也在布局硅光模塊中的CPO(共封裝光學(xué))技術(shù),以優(yōu)化算力成本。在先進(jìn)封裝領(lǐng)域,英特爾或可與臺積電同臺競技。

三星自然也緊追不舍。針對2.5D封裝,三星推出的I-Cube封裝技術(shù)可與臺積電CoWoS相抗衡;3D IC技術(shù)方面,三星2020年推出X-Cube封裝。此外,三星計(jì)劃在2024年量產(chǎn)可處理比普通凸塊更多數(shù)據(jù)的X-Cube封裝技術(shù),并預(yù)計(jì)2026年推出比X-Cube處理更多數(shù)據(jù)的無凸塊型封裝技術(shù)。

對此許然認(rèn)為,三星在2.5D先進(jìn)封裝方面雖已布局多年,但是前道代工業(yè)務(wù)較弱,在一定程度上影響了其先進(jìn)封裝業(yè)務(wù)的進(jìn)展,客戶相對較少。不過隨著臺積電CoWoS短期內(nèi)難以滿足客戶需求,三星有希望能接到部分訂單,而且它還擁有唯一擁有從存儲器、處理器芯片的設(shè)計(jì)、制造到先進(jìn)封裝業(yè)務(wù)組合的優(yōu)勢。

以賽亞調(diào)研指出,在先進(jìn)封裝領(lǐng)域,目前更加強(qiáng)調(diào)的是異構(gòu)芯片的整合能力。例如,MI300封裝將3nm GPU與5nm CPU芯片整合在一塊,這種整合能力對于提高芯片性能和效能至關(guān)重要。因而,未來的比拼也將圍繞這一能力展開。

全面考驗(yàn)

盡管看似巨頭們各有伯仲,但2nm的考驗(yàn)絕不止首發(fā)那么簡單。莫大康提及,盡管上述巨頭技術(shù)進(jìn)階的路徑基本相同,且都采用ASML的高NA***,但無論是良率、客戶粘性和服務(wù)均將影響2nm量產(chǎn)的進(jìn)程。

以賽亞調(diào)研也提及,各家廠商的量產(chǎn)進(jìn)程受到多種因素的影響,包括技術(shù)難度、資金投入、設(shè)備與材料支持等。

“根據(jù)目前的評估,臺積電與三星將繼續(xù)是2nm制程的主要代工廠商,因在先進(jìn)制程的良率和量產(chǎn)規(guī)模方面表現(xiàn)出色。英特爾在技術(shù)研發(fā)方面雖具有一定的優(yōu)勢,但其晶圓代工主要專注于自家產(chǎn)品,對外部客戶的合作較為有限,這對突破先進(jìn)制程的良率和量產(chǎn)穩(wěn)定性帶來了挑戰(zhàn)。而日本Rapidus雖擁有強(qiáng)大的研發(fā)資源,但主要專注在AI及超級計(jì)算機(jī)等相關(guān)產(chǎn)品,以在日本建立自己的先進(jìn)工藝供應(yīng)鏈、服務(wù)日本客戶為優(yōu)先,經(jīng)濟(jì)規(guī)模的量產(chǎn)還在其次。”以賽亞調(diào)研詳細(xì)解讀說。

其中,良率可謂至關(guān)重要,畢竟2nm制程晶圓代工報(bào)價(jià)約為24570美元,成本如此之高低良率真心“傷不起”。

追溯歷史,也可以看到,雖然臺積電與三星都開始3nm芯片的量產(chǎn),但就算最領(lǐng)先的臺積電也還在苦苦奮戰(zhàn)5nm的良率提升。連臺積電都不敢保證,何時(shí)3nm量產(chǎn)的良率能及格。也因此,日本Rapidus要實(shí)現(xiàn)2nm的量產(chǎn),低良率恐怕會成為致命關(guān)鍵。

而影響良率的因素繁多,集微咨詢指出,這涉及高NA***、工藝優(yōu)化、設(shè)計(jì)水平、經(jīng)驗(yàn)等等。“良率需要不斷優(yōu)化提升,如果某家廠商的良率高于競爭對手一個數(shù)量級,有可能客戶在A家下的單,就會轉(zhuǎn)至B家,變數(shù)還是很大的。”

客戶的粘性也是諸多變量綜合平衡的結(jié)果。在客戶認(rèn)可度方面,雖然臺積電是眾多芯片客戶們的首選,但為了供應(yīng)鏈安全,客戶們也會有自己的Plan B計(jì)劃。

對于產(chǎn)能過剩的問題,以賽亞調(diào)研的結(jié)論是,因?yàn)?nm的技術(shù)研發(fā)門檻及單價(jià)都偏高,客戶要投片時(shí)會謹(jǐn)慎考量產(chǎn)品效能與成本間的平衡。在客戶有限的情況下,各家晶圓廠的擴(kuò)產(chǎn)會更多根據(jù)客戶需求開出,適時(shí)調(diào)配產(chǎn)能,因此要達(dá)到產(chǎn)能過剩的幾率不高。

2nm的代工格局走向究竟如何,要看四大廠商的“言之鑿鑿”到底有多少落到實(shí)處了。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5570

    瀏覽量

    165869
  • 封裝技術(shù)
    +關(guān)注

    關(guān)注

    12

    文章

    535

    瀏覽量

    67939
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9582

    瀏覽量

    137462

原文標(biāo)題:2nm決戰(zhàn)2025

文章出處:【微信號:WW_CGQJS,微信公眾號:傳感器技術(shù)】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    臺積電高雄2nm晶圓廠加速推進(jìn),預(yù)計(jì)12月啟動裝機(jī)

    臺積電在高雄的2nm晶圓廠建設(shè)傳來新進(jìn)展。據(jù)臺媒最新報(bào)道,臺積電位于高雄的首座2nm晶圓廠(P1)即將竣工,標(biāo)志著公司在先進(jìn)制程技術(shù)上的又一重大突破。據(jù)悉,該晶圓廠已通知相關(guān)半導(dǎo)體廠務(wù)供應(yīng)商,計(jì)劃
    的頭像 發(fā)表于 09-26 15:59 ?309次閱讀

    消息稱三星電子再獲2nm訂單

    三星電子在半導(dǎo)體代工領(lǐng)域再下一城,成功獲得美國知名半導(dǎo)體企業(yè)安霸的青睞,承接其2nm制程的ADAS(高級駕駛輔助系統(tǒng))芯片代工項(xiàng)目。
    的頭像 發(fā)表于 09-12 16:26 ?361次閱讀

    三星電子:加快2nm和3D半導(dǎo)體技術(shù)發(fā)展,共享技術(shù)信息與未來展望

    在技術(shù)研發(fā)領(lǐng)域,三星電子的3nm2nm工藝取得顯著進(jìn)步,預(yù)計(jì)本季度內(nèi)完成2nm設(shè)計(jì)基礎(chǔ)設(shè)施的開發(fā);此外,4nm工藝的良率亦逐漸穩(wěn)定。
    的頭像 發(fā)表于 04-30 16:16 ?418次閱讀

    臺積電2nm芯片研發(fā)迎新突破

    臺積電已經(jīng)明確了2nm工藝的量產(chǎn)時(shí)間表。預(yù)計(jì)試生產(chǎn)將于2024年下半年正式啟動,小規(guī)模生產(chǎn)則將在2025年第二季度逐步展開。
    的頭像 發(fā)表于 04-11 15:25 ?537次閱讀

    三星電子澄清:3nm芯片并非更名2nm,下半年將量產(chǎn)

    李時(shí)榮聲稱,“客戶對代工企業(yè)的產(chǎn)品競爭力與穩(wěn)定供應(yīng)有嚴(yán)格要求,4nm工藝已步入成熟良率階段。我們正積極籌備后半年第二代3nm工藝及明年2nm工藝的量產(chǎn),并積極與潛在客戶協(xié)商?!?/div>
    的頭像 發(fā)表于 03-21 15:51 ?508次閱讀

    蘋果2nm芯片曝光,性能提升10%-15%

    據(jù)媒體報(bào)道,目前蘋果已經(jīng)在設(shè)計(jì)2nm芯片,芯片將會交由臺積電代工。
    的頭像 發(fā)表于 03-04 13:39 ?922次閱讀

    臺積電2nm制程進(jìn)展順利

    臺中科學(xué)園區(qū)已初步規(guī)劃A14和A10生產(chǎn)線,將視市場需求決定是否新增2nm制程工藝。
    的頭像 發(fā)表于 01-31 14:09 ?565次閱讀

    臺積電在2nm制程技術(shù)上展開防守策略

    臺積電的2nm技術(shù)是3nm技術(shù)的延續(xù)。一直以來,臺積電堅(jiān)定地遵循著每一步一個工藝節(jié)點(diǎn)的演進(jìn)策略,穩(wěn)扎穩(wěn)打,不斷突破。
    發(fā)表于 01-25 14:14 ?377次閱讀

    蘋果欲優(yōu)先獲取臺積電2nm產(chǎn)能,預(yù)計(jì)2024年安裝設(shè)備生產(chǎn)

    有消息人士稱,蘋果期望能夠提前獲得臺積電1.4nm(A14)以及1nm(A10)兩種更為先進(jìn)的工藝的首次產(chǎn)能供應(yīng)。據(jù)了解,臺積電2nm技術(shù)開發(fā)進(jìn)展順利,預(yù)期采用GAA(全柵極環(huán)繞)技術(shù)生產(chǎn)2n
    的頭像 發(fā)表于 01-25 14:10 ?444次閱讀

    美滿電子推出5nm、3nm、2nm技術(shù)支持的數(shù)據(jù)基礎(chǔ)設(shè)施新品

    該公司的首席開發(fā)官Sandeep Bharathi透露,其實(shí)施2nm相關(guān)的投資計(jì)劃已啟動。雖無法公布準(zhǔn)確的工藝和技術(shù)細(xì)節(jié),但已明確表示,2至5nm制程的項(xiàng)目投入正在進(jìn)行。公司專家,尤其是來自印度的專業(yè)人才,涵蓋了從數(shù)字設(shè)計(jì)到電路
    的頭像 發(fā)表于 01-24 10:24 ?524次閱讀

    為什么IO都用上拉電阻,沒有用下拉電阻的呢?

    IO大家都不約而同的用上拉電阻,為何沒有用下拉電阻的呢?
    發(fā)表于 01-18 08:20

    臺積電2nm制程穩(wěn)步推進(jìn),2025年將實(shí)現(xiàn)量產(chǎn)

    得益于2nm制程項(xiàng)目的順利推進(jìn),寶山、高雄新晶圓廠的建造工程正有序進(jìn)行。臺中科學(xué)園區(qū)已初步確定了A14與A10生產(chǎn)線的布局,具體是否增設(shè)2nm制程工藝將根據(jù)市場需求再定。
    的頭像 發(fā)表于 01-16 09:40 ?532次閱讀

    三大芯片巨頭角逐2nm技術(shù)

    過去數(shù)十年里,芯片設(shè)計(jì)團(tuán)隊(duì)始終專注于小型化。減小晶體管體積,能降低功耗并提升處理性能。如今,2nm及3nm已取代實(shí)際物理尺寸,成為描述新一代芯片的關(guān)鍵指標(biāo)。
    的頭像 發(fā)表于 12-12 09:57 ?841次閱讀

    2nm意味著什么?2nm何時(shí)到來?它與3nm有何不同?

    3nm工藝剛量產(chǎn),業(yè)界就已經(jīng)在討論2nm了,并且在調(diào)整相關(guān)的時(shí)間表。2nm工藝不僅對晶圓廠來說是一個重大挑戰(zhàn),同樣也考驗(yàn)著EDA公司,以及在此基礎(chǔ)上設(shè)計(jì)芯片的客戶。
    的頭像 發(fā)表于 12-06 09:09 ?2238次閱讀

    將銅互連擴(kuò)展到2nm的研究

    晶體管尺寸在3nm時(shí)達(dá)到臨界點(diǎn),納米片F(xiàn)ET可能會取代finFET來滿足性能、功耗、面積和成本目標(biāo)。同樣,正在評估2nm銅互連的重大架構(gòu)變化,此舉將重新配置向晶體管傳輸電力的方式。
    的頭像 發(fā)表于 11-14 10:12 ?361次閱讀
    將銅互連擴(kuò)展到<b class='flag-5'>2nm</b>的研究