0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA的偽隨機數(shù)發(fā)生器學(xué)習(xí)介紹

電子發(fā)燒友論壇 ? 來源:電子發(fā)燒友論壇 ? 2023-09-12 09:13 ? 次閱讀

今天是畫師本人第一次和各位大俠見面,執(zhí)筆繪畫FPGA江湖,本人寫了篇關(guān)于FPGA的偽隨機數(shù)發(fā)生器學(xué)習(xí)筆記,這里分享給大家,僅供參考。

基于FPGA的偽隨機數(shù)發(fā)生器

(附代碼)

1、概念

隨機數(shù)是專門的隨機試驗的結(jié)果,產(chǎn)生隨機數(shù)有多種不同的方法。這些方法被稱為隨機數(shù)生成器。隨機數(shù)最重要的特性是它在產(chǎn)生時后面的那個數(shù)與前面的那個數(shù)毫無關(guān)系。隨機數(shù)分為三類,分別是偽隨機數(shù)、密碼學(xué)安全的偽隨機數(shù)以及真隨機數(shù)。

本次設(shè)計為基于FPGA生成的偽隨機數(shù)發(fā)生器,什么是偽隨機數(shù)呢?統(tǒng)計學(xué)偽隨機性指的是在給定的隨機比特流樣本中,1的數(shù)量大致等于0的數(shù)量,同理,“10”“01”“00”“11”四者數(shù)量大致相等。類似的標(biāo)準(zhǔn)被稱為統(tǒng)計學(xué)隨機性。滿足這類要求的數(shù)字在人類“一眼看上去”是隨機的。

在實際應(yīng)用中往往使用偽隨機數(shù)就足夠了。這些數(shù)列是“似乎”隨機的數(shù),實際上它們是通過一個固定的、可以重復(fù)的計算方法產(chǎn)生的。計算機或計算器產(chǎn)生的隨機數(shù)有很長的周期性。它們不真正地隨機,因為它們實際上是可以計算出來的,但是它們具有類似于隨機數(shù)的統(tǒng)計特征。這樣的發(fā)生器叫做偽隨機數(shù)發(fā)生器。

2、設(shè)計原理

本次設(shè)計采用線性反饋移位寄存器Linear Feedback Shift Register, LFSR)來實現(xiàn)偽隨機數(shù)發(fā)生器。線性反饋移位寄存器是指,給定前一狀態(tài)的輸出,將該輸出的線性函數(shù)再用作輸入的移位寄存器。異或運算是最常見的單比特線性函數(shù):對寄存器的某些位進(jìn)行異或操作后作為輸入,再對寄存器中的各比特進(jìn)行整體移位。

線性反饋移位寄存器通常由動態(tài)或靜態(tài)主從型觸發(fā)器構(gòu)成。反饋回路由異或門構(gòu)成。其特性通常由一個特征多項式表征。LFSR結(jié)構(gòu)如下圖所示:

f1783070-50ff-11ee-a25d-92fbcf53809c.png

圖1 LFSR結(jié)構(gòu)示意圖

對應(yīng)的特征多項式為:

f187ebb4-50ff-11ee-a25d-92fbcf53809c.png

Gm為多項式的系數(shù),而多項式系數(shù)只能為1或0。

利用LFSR生成偽隨機數(shù),需要給它一個隨機種子(seed),由于它是由N個觸發(fā)器和異或門組成,所以種子不能給全0,如果給的全0,將會陷入0的死循環(huán)一直出不來,就得不到我們想要的偽隨機數(shù),在設(shè)計時,我們可以給一個任意不為0的數(shù)。

基于以上原理,我們使用本原多項式x^32+x^7+x^5+x^3+x^2+x+1來構(gòu)造最大周期的LFSR。

3、架構(gòu)設(shè)計

設(shè)計架構(gòu)如下圖:

f1945aa2-50ff-11ee-a25d-92fbcf53809c.png

將輸入時鐘命名為clk,復(fù)位信號命名為rst_n,輸入有效信號命名為ivalid,輸入的隨機種子命名為seed[31:0],生成的隨機數(shù)命名為data[31:0]。

4、Verilog代碼實現(xiàn)

代碼中data <= seed部分也可以不需要,不用輸入有效信號以及隨機種子,直接初始化為非零值也可。如直接初始化為非零值,則仿真代碼只需進(jìn)行復(fù)位即可。

設(shè)計實現(xiàn)代碼如下:

f1a15ab8-50ff-11ee-a25d-92fbcf53809c.png

5、仿真測試結(jié)果

仿真代碼如下:

f1aff654-50ff-11ee-a25d-92fbcf53809c.png

本次仿真采用100M時鐘進(jìn)行,輸入種子為非零隨機數(shù)。

f1c47ff2-50ff-11ee-a25d-92fbcf53809c.png

f1dd5612-50ff-11ee-a25d-92fbcf53809c.png

6、總結(jié)

以上是經(jīng)過學(xué)習(xí),集合了各家所長得到的結(jié)果。由于想做一個32位的偽隨機數(shù)發(fā)生器,在網(wǎng)上找了各種資料,并沒有找到有規(guī)定的標(biāo)準(zhǔn)多項式,于是隨意定了一個。在實際運用當(dāng)中,如果有標(biāo)準(zhǔn)的多項式系數(shù),可能得到了一個偽隨機數(shù),就可以根據(jù)已知的特征式得出后面的結(jié)果,安全性也就大大降低了。

審核編輯:彭菁

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600465
  • 計算機
    +關(guān)注

    關(guān)注

    19

    文章

    7289

    瀏覽量

    87518
  • 發(fā)生器
    +關(guān)注

    關(guān)注

    4

    文章

    1356

    瀏覽量

    61568
  • 函數(shù)
    +關(guān)注

    關(guān)注

    3

    文章

    4256

    瀏覽量

    62223

原文標(biāo)題:【學(xué)習(xí)教程】基于FPGA的偽隨機數(shù)發(fā)生器(附代碼)

文章出處:【微信號:gh_9b9470648b3c,微信公眾號:電子發(fā)燒友論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    FPGA產(chǎn)生中隨機數(shù)發(fā)生器分析

    性,但是從實用的角度而言,其隨機程度已足夠了。這里的的含義是,由于該隨機數(shù)是按照一定算法模擬產(chǎn)生的,其結(jié)果是確定的,是可見的,因此并不是真正的隨機數(shù)。
    的頭像 發(fā)表于 11-21 11:49 ?3485次閱讀
    <b class='flag-5'>FPGA</b>產(chǎn)生中<b class='flag-5'>偽</b><b class='flag-5'>隨機數(shù)</b><b class='flag-5'>發(fā)生器</b>分析

    【assingle分享】labview隨機數(shù)發(fā)生器

    ,提供了多種不同的函數(shù),下面大概總結(jié)一下。一、隨機數(shù)發(fā)生器在LABVIEW數(shù)值函數(shù)選板中,提供了一個隨機數(shù)發(fā)生器函數(shù),返回一個0-1之間的
    發(fā)表于 04-07 10:27

    【總結(jié)】LabVIEW隨機數(shù)發(fā)生器

    ,提供了多種不同的函數(shù),下面大概總結(jié)一下。一、隨機數(shù)發(fā)生器在LABVIEW數(shù)值函數(shù)選板中,提供了一個隨機數(shù)發(fā)生器函數(shù),返回一個0-1之間的
    發(fā)表于 01-30 14:51

    怎么設(shè)計基于USB和FPGA隨機數(shù)發(fā)生器驗證平臺?

    一種必然。為此,基于純數(shù)字電路實現(xiàn)的隨機數(shù)發(fā)生器已成為研究的熱點,而FPGA可編程邏輯芯片為此類隨機數(shù)發(fā)生器的研究提供了良好的開發(fā)環(huán)境。
    發(fā)表于 08-27 06:05

    隨機數(shù)發(fā)生器的相關(guān)資料分享

    00. 目錄文章目錄00. 目錄01. 隨機數(shù)發(fā)生器簡介02. 隨機數(shù)發(fā)生器主要特性03. 隨機數(shù)發(fā)生器
    發(fā)表于 02-14 07:35

    學(xué)習(xí)筆記 | 基于FPGA隨機數(shù)發(fā)生器(附代碼)

    今天是畫師本人第一次和各位大俠見面,執(zhí)筆繪畫FPGA江湖,本人寫了篇關(guān)于FPGA隨機數(shù)發(fā)生器學(xué)習(xí)
    發(fā)表于 04-21 19:42

    隨機數(shù)發(fā)生器FPGA實現(xiàn)與研究

    摘要:在很多實際應(yīng)用中,直接利用FPGA 產(chǎn)生隨機序列的方法可以為系統(tǒng)設(shè)計或測試帶來極大的便利。本文給出了基于線性反饋移位寄存電路,并結(jié)合FPG
    發(fā)表于 07-22 15:12 ?0次下載

    基于FPGA的真隨機數(shù)發(fā)生器設(shè)計

    設(shè)計并實現(xiàn)了一種基于 FPGA 的真 隨機數(shù)發(fā)生器 ,利用一對振蕩環(huán)路之間的相位漂移和抖動以及亞穩(wěn)態(tài)作為隨機源,使用線性反饋移位寄存的輸出
    發(fā)表于 05-30 17:04 ?72次下載
    基于<b class='flag-5'>FPGA</b>的真<b class='flag-5'>隨機數(shù)</b><b class='flag-5'>發(fā)生器</b>設(shè)計

    利用FPGA的自身特性實現(xiàn)隨機數(shù)發(fā)生器

    本文主要介紹利用FPGA的自身的特性實現(xiàn)隨機數(shù)發(fā)生器,在Virtex-II Pro開發(fā)板上用ChipScope觀察隨機數(shù)序列,以及在PCIe
    發(fā)表于 02-11 16:26 ?1.3w次閱讀
    利用<b class='flag-5'>FPGA</b>的自身特性實現(xiàn)<b class='flag-5'>隨機數(shù)</b><b class='flag-5'>發(fā)生器</b>

    補充: FPGA產(chǎn)生基于LFSR的隨機數(shù)

    大家好,又到了每日學(xué)習(xí)的時間了,上一篇《薦讀:基于FPGA 的CRC校驗碼生成器》文中,提到了要實現(xiàn)這一過程,仍然需要LFSR電路,參看《FPGA產(chǎn)生基于LFSR的
    的頭像 發(fā)表于 06-13 11:21 ?7605次閱讀
    補充: <b class='flag-5'>FPGA</b>產(chǎn)生基于LFSR的<b class='flag-5'>偽</b><b class='flag-5'>隨機數(shù)</b>

    如何使用FPGA實現(xiàn)隨機數(shù)發(fā)生器

    在很多實際應(yīng)用中,直接利用FPGA產(chǎn)生隨機序列的方法可以為系統(tǒng)設(shè)計或測試帶來極大的便利。本文給出了基于線性反饋移位寄存電路,并結(jié)合FPGA
    發(fā)表于 02-05 15:22 ?24次下載
    如何使用<b class='flag-5'>FPGA</b>實現(xiàn)<b class='flag-5'>偽</b><b class='flag-5'>隨機數(shù)</b><b class='flag-5'>發(fā)生器</b>

    FPGA產(chǎn)生基于LFSR的隨機數(shù)概念

    大家好,又到了每日學(xué)習(xí)的時間了,上一篇《薦讀:基于FPGA 的CRC校驗碼生成器》文中,提到了“要實現(xiàn)這一過程,仍然需要LFSR電路,參看《FPGA產(chǎn)生基于LFSR的
    的頭像 發(fā)表于 04-02 16:33 ?2359次閱讀
    <b class='flag-5'>FPGA</b>產(chǎn)生基于LFSR的<b class='flag-5'>偽</b><b class='flag-5'>隨機數(shù)</b>概念

    基于FPGA隨機數(shù)發(fā)生器設(shè)計方案

    基于FPGA隨機數(shù)發(fā)生器設(shè)計方案
    發(fā)表于 06-28 14:36 ?4次下載

    【STM32】隨機數(shù)發(fā)生器詳解

    00. 目錄文章目錄00. 目錄01. 隨機數(shù)發(fā)生器簡介02. 隨機數(shù)發(fā)生器主要特性03. 隨機數(shù)發(fā)生器
    發(fā)表于 12-08 18:36 ?11次下載
    【STM32】<b class='flag-5'>隨機數(shù)</b><b class='flag-5'>發(fā)生器</b>詳解

    如何在FPGA中實現(xiàn)隨機數(shù)發(fā)生器

    分享如何在Xilinx Breadboardable Spartan-7 FPGA, CMOD S7中實現(xiàn)4位隨機數(shù)發(fā)生器(PRNGs)。
    的頭像 發(fā)表于 08-06 11:20 ?481次閱讀
    如何在<b class='flag-5'>FPGA</b>中實現(xiàn)<b class='flag-5'>隨機數(shù)</b><b class='flag-5'>發(fā)生器</b>