0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

數(shù)碼管的顯示原理及其實(shí)現(xiàn)方式

CHANBAEK ? 來源:數(shù)字FPGA設(shè)計(jì)與驗(yàn)證 ? 作者:夕陽笑笑 ? 2023-10-01 09:53 ? 次閱讀

在數(shù)字FPGA電路中,作為入門級(jí)別的外設(shè)除LED燈外,數(shù)碼管算是使用頻率最多、應(yīng)用范圍最廣的一個(gè)核心集成外設(shè)了,因此學(xué)習(xí)數(shù)碼管的使用非常有必要,下面一起來看看數(shù)碼管的顯示原理及其實(shí)現(xiàn)方式吧!

1. 數(shù)碼管顯示原理

數(shù)碼管是電子設(shè)計(jì)中常用的外設(shè)設(shè)備之一,用來顯示一些數(shù)據(jù)數(shù)值。常見的數(shù)碼管有單個(gè)的以及多個(gè)共用一起的,那么首先由最簡單的單個(gè)數(shù)碼管的原理介紹,方便大家的理解。如下圖a所示:

圖片

圖a單個(gè)數(shù)碼管內(nèi)部結(jié)構(gòu)

這就是一個(gè)常見的單個(gè)數(shù)碼管內(nèi)部的結(jié)構(gòu)造型,可以理解成7個(gè)長方形的LED小燈組成,由這些小燈的亮滅狀況來組成數(shù)碼管顯示的不同數(shù)值,下面我以手繪圖展示幾個(gè)數(shù)字的情況,大家應(yīng)該能更清楚直觀的明白其工作原理,如下圖b所示:

圖片

圖b顯示數(shù)字024

這里是三個(gè)單獨(dú)的數(shù)碼管,分別顯示數(shù)字0、2、4,所以數(shù)碼管的原理可以簡單的理解為點(diǎn)亮小燈的組合排列即可(具體內(nèi)部電路的可以參考后面的附錄,因?yàn)槠洳粚儆?a href="http://srfitnesspt.com/v/tag/8791/" target="_blank">數(shù)字電路的內(nèi)容,故放在附錄中),明白了數(shù)碼管的原理那么再來實(shí)現(xiàn)這部分的操作就很簡單啦,下面就一起具體看看是如何實(shí)現(xiàn)的吧。

2. 數(shù)碼管顯示部分的程序?qū)崿F(xiàn)

在日常生活中燈的亮滅是通過開關(guān)來進(jìn)行控制,開可以理解為一個(gè)高電平的指令,關(guān)則相反,而在數(shù)字電路中,邏輯電平高低分別用1和0來進(jìn)行表示,因此要實(shí)現(xiàn)點(diǎn)亮數(shù)碼管的功能,也就可以通過0和1來進(jìn)行控制a~g這七個(gè)小燈,比如讓數(shù)碼管顯示1那么只需要b、c這兩個(gè)小燈點(diǎn)亮就可以了,那么下面就來實(shí)現(xiàn)數(shù)碼管顯示部分的程序,使用的Verilog語言:

module    one_digit(
    input    [3:0]    data,
    output    [7:0]    seg
);

reg        [7:0]    seg;

always @(data) begin
    case(data)
        4'h0:seg = 8'b11000000;
        4'h1:seg = 8'b11111001;
        4'h2:seg = 8'b10100100;
        4'h3:seg = 8'b10110000;
        4'h5:seg = 8'b10010010;
        4'h4:seg = 8'b10011001;
        4'h6:seg = 8'b10000010;
        4'h7:seg = 8'b11111000;
        4'h8:seg = 8'b10000000;
        4'h9:seg = 8'b10010000;
        4'ha:seg = 8'b10001000;
        4'hb:seg = 8'b10000011;
        4'hc:seg = 8'b11000110;
        4'hd:seg = 8'b10100001;
        4'he:seg = 8'b10000110;
        4'hf:seg = 8'b10111111;
    endcase
end

endmodule

以上就是基于的是LUT查找表的原理所寫的單個(gè)數(shù)碼管顯示的程序。

3. 數(shù)碼管程序的驗(yàn)證

當(dāng)寫完一部分語法驗(yàn)證沒有錯(cuò)誤、編譯能夠成功的程序后,下面要做的就是核心的功能驗(yàn)證,那么對(duì)于上面的數(shù)碼管程序如何進(jìn)行驗(yàn)證呢?

對(duì)于FPGA設(shè)計(jì)的驗(yàn)證來說,常見的驗(yàn)證方式有兩種:a.仿真驗(yàn)證b.下板驗(yàn)證,當(dāng)身邊沒有合適硬件板卡的時(shí)候,仿真驗(yàn)證往往是不錯(cuò)的選擇,這個(gè)時(shí)候借助一些EDA工具,可以方便的驗(yàn)證好設(shè)計(jì)的程序邏輯功能是否正確,Modelsim就是這樣一款便于仿真驗(yàn)證的工具,當(dāng)然進(jìn)行仿真驗(yàn)證的時(shí)候也需要進(jìn)行激勵(lì)的輸入(編寫一部分代碼),下面對(duì)這個(gè)數(shù)碼管的程序使用仿真驗(yàn)證的方式看看效果吧,如下圖c所示:

圖片

圖c數(shù)碼管仿真結(jié)果

可以從仿真波形的高低判斷出對(duì)應(yīng)的數(shù)碼管內(nèi)部LED小燈的亮滅情況,由此排列組合推斷出實(shí)際的數(shù)碼管顯示情況,以此作為仿真驗(yàn)證判斷的依據(jù),下面給出仿真驗(yàn)證的程序:

`timescale      1ns/1ns

 

module TB_one_dight;

 

reg         [3:0]data;

wire[7:0]seg         ;

 

one_digituut(

       data,

       seg

);    

 

initial begin

       data=     0;

       #100;

       repeat(16)begin

              data=     data+     1;

              #100;

       end

       $stop;

end

 

endmodule

至于實(shí)物驗(yàn)證的部分的話,建議結(jié)合相關(guān)的硬件板塊配套例程來進(jìn)行學(xué)習(xí)驗(yàn)證,這里就不做板卡推薦了,F(xiàn)PGA板卡以實(shí)物配套為文檔教程為準(zhǔn)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600512
  • led
    led
    +關(guān)注

    關(guān)注

    240

    文章

    22991

    瀏覽量

    654647
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109857
  • 數(shù)碼管
    +關(guān)注

    關(guān)注

    32

    文章

    1872

    瀏覽量

    90730
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1590

    瀏覽量

    80291
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于51單片機(jī)實(shí)現(xiàn)動(dòng)態(tài)數(shù)碼管顯示

    前面我們已經(jīng)介紹了如何使用51單片機(jī)控制靜態(tài)數(shù)碼管顯示,在實(shí)際應(yīng)用中通常都需要顯示多位數(shù)值,如果采用靜態(tài)數(shù)碼管顯示就不夠好,因此就需要采用另
    發(fā)表于 07-17 14:29 ?1.2w次閱讀
    基于51單片機(jī)<b class='flag-5'>實(shí)現(xiàn)</b>動(dòng)態(tài)<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b>

    數(shù)碼管靜態(tài)顯示原理

    數(shù)碼管顯示數(shù)碼管靜態(tài)顯示原理顯示及其接口數(shù)碼管動(dòng)態(tài)
    發(fā)表于 11-22 08:38

    如何實(shí)現(xiàn)多個(gè)數(shù)碼管同時(shí)顯示

    字一、基礎(chǔ)分析一個(gè)陌生器件的功能,我們首先要看明白它的原理圖。1.其實(shí)藍(lán)橋杯中的數(shù)碼管比我之前用的普中單片機(jī)的數(shù)碼管顯示簡單多了,普中的單片機(jī)為了節(jié)省資源,一次只能點(diǎn)亮一個(gè)
    發(fā)表于 03-02 07:30

    FPGA入門實(shí)現(xiàn)教程之數(shù)碼管動(dòng)態(tài)顯示

    FPGA入門實(shí)現(xiàn)教程之數(shù)碼管動(dòng)態(tài)顯示
    發(fā)表于 11-13 16:25 ?93次下載

    數(shù)碼管顯示實(shí)驗(yàn)

    數(shù)碼管顯示實(shí)驗(yàn) 一、實(shí)驗(yàn)?zāi)康?b class='flag-5'>數(shù)碼管是單片機(jī)系統(tǒng)常用的輸出顯示器件,單個(gè)數(shù)碼管可以顯示0~F 和
    發(fā)表于 09-28 12:28 ?1.5w次閱讀
    <b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b>實(shí)驗(yàn)

    數(shù)碼管的驅(qū)動(dòng)方式

    數(shù)碼管的驅(qū)動(dòng)方式   數(shù)碼管要正常顯示,就要用驅(qū)動(dòng)電路來驅(qū)動(dòng)數(shù)碼管的各個(gè)段碼,從而顯示出我們要
    發(fā)表于 11-06 11:42 ?3917次閱讀

    LED數(shù)碼管顯示分類及其典型應(yīng)用電路

    本文主要講述了LED數(shù)碼管顯示分類及其特點(diǎn)、LED數(shù)碼管典型應(yīng)用電路。 一.LED數(shù)碼管顯示
    發(fā)表于 07-31 11:09 ?1.1w次閱讀
    LED<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b>分類<b class='flag-5'>及其</b>典型應(yīng)用電路

    數(shù)碼管(靜態(tài)顯示)【匯編版】

    數(shù)碼管(靜態(tài)顯示)【匯編版】數(shù)碼管(靜態(tài)顯示)【匯編版】數(shù)碼管(靜態(tài)顯示)【匯編版】
    發(fā)表于 12-29 15:27 ?0次下載

    動(dòng)態(tài)數(shù)碼管顯示程序

    動(dòng)態(tài)數(shù)碼管顯示程序,動(dòng)態(tài)數(shù)碼管顯示程序,動(dòng)態(tài)數(shù)碼管顯示程序,動(dòng)態(tài)
    發(fā)表于 01-12 14:55 ?60次下載

    數(shù)碼管的結(jié)構(gòu)與驅(qū)動(dòng)方式

    數(shù)碼管也稱LED數(shù)碼管,不同行業(yè)人士對(duì)數(shù)碼管的稱呼不一樣,其實(shí)都是同樣的產(chǎn)品。數(shù)碼管也稱LED數(shù)碼管
    發(fā)表于 05-05 16:32 ?1.2w次閱讀

    數(shù)碼管顯示的多種方式

    1、 實(shí)現(xiàn)3*4按鍵數(shù)碼管顯示
    發(fā)表于 09-06 15:02 ?22次下載

    單片機(jī)中的數(shù)碼管顯示原理及其實(shí)現(xiàn)

    十引腳數(shù)碼管,引腳為abcdefg、dp、c、gnd,七段數(shù)碼管通過給某個(gè)引腳置高電平,即可實(shí)現(xiàn)該段的二極管點(diǎn)亮,從而顯示0–9及A—F。數(shù)碼管
    發(fā)表于 11-11 09:51 ?42次下載
    單片機(jī)中的<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b>原理<b class='flag-5'>及其實(shí)現(xiàn)</b>

    MCS-51實(shí)現(xiàn)靜態(tài)數(shù)碼管顯示

    MCS-51實(shí)現(xiàn)靜態(tài)數(shù)碼管顯示
    發(fā)表于 11-25 13:51 ?8次下載
    MCS-51<b class='flag-5'>實(shí)現(xiàn)</b>靜態(tài)<b class='flag-5'>數(shù)碼管</b>的<b class='flag-5'>顯示</b>

    靜態(tài)數(shù)碼管和動(dòng)態(tài)數(shù)碼管

    (1位)、聯(lián)排(2位、4位、8位)2、工作原理(1)亮滅原理(其實(shí)就是內(nèi)部的照明LED)(2)顯示數(shù)字(甚至文字)原理:利用內(nèi)部的LED的亮和滅讓外部的組成數(shù)字的筆畫顯示或者不顯示,人
    發(fā)表于 01-12 18:31 ?40次下載
    靜態(tài)<b class='flag-5'>數(shù)碼管</b>和動(dòng)態(tài)<b class='flag-5'>數(shù)碼管</b>

    LED數(shù)碼管顯示方式和驅(qū)動(dòng)方式

    LED數(shù)碼管,作為現(xiàn)代電子顯示技術(shù)中的重要組成部分,以其高亮度、長壽命和低功耗等特點(diǎn),廣泛應(yīng)用于各種數(shù)字顯示設(shè)備中。本文將詳細(xì)闡述LED數(shù)碼管顯示
    的頭像 發(fā)表于 05-30 17:37 ?2102次閱讀