0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

WS2812 LED芯片的使用筆記

CHANBAEK ? 來源:嵌入式FPGA開發(fā) ? 作者:雷曉明 ? 2023-10-01 09:58 ? 次閱讀

最接接觸了WS2812 LED,相信有不少人都用過。大家對這款彩色LED肯定是又愛又恨,愛的是它使用簡單,采用單總線通信方式,節(jié)約IO口,而且可以多級串聯(lián)。而普通的彩色LED不是共陰就是共陽,每個顏色一個引腳,一般都是用PWM驅動,想要控制亮度、顏色就要分別控制每個引腳上的PWM占空比,想要驅動多個LED就更麻煩了。恨的是WSws2812對時序的要求比較高,對低速單片機不太友好。今天我就詳細談一談WS2812的驅動,以及使用時遇到的一個問題。

拿到一款芯片,第一件事就是找Datasheet。找Datasheet很簡單,直接上官網(wǎng)或者上專門的datasheet網(wǎng)站。

其實該LED的控制時序比較簡單:

1、數(shù)據(jù)0、1和Ret碼

該時序說名我們該如何傳輸1、0以及如何結束傳輸

圖片

2、LED數(shù)據(jù)

該款LED顯示的是24位RGB數(shù)據(jù),位順序如下。傳輸時高位(G7)先發(fā)送,低位(B0)后后發(fā)送

圖片

3、連接模式

該款LED的連接模式比較簡單,串行模式,上一個LED的輸出接下一個LED的輸入。

圖片

4、串行LED時序

整個連續(xù)的數(shù)據(jù)發(fā)送如下,即發(fā)送數(shù)據(jù)和Ret信號。當然,在這里會有一個疑問,到底是先發(fā)數(shù)據(jù)還是先發(fā)ret信號。

經(jīng)過本人自己測試驗證,應當先發(fā)ret信號再發(fā)數(shù)據(jù)信號。原因如下:

數(shù)據(jù)在傳輸?shù)倪^程中,當LED接收到完整的24bit數(shù)據(jù)時,才會對該24Bit數(shù)據(jù)進行處理,是當前LED數(shù)據(jù),還是需要轉發(fā)至下一個LED的數(shù)據(jù)。而實際發(fā)送過程中(尤為上電時)我們有時很難判定他第一個LED是否已經(jīng)接收數(shù)據(jù)。而Ret信號相當于將所有的傳輸狀態(tài)復位,之后再進行新的數(shù)據(jù)傳輸。

圖片

5、演示示例

做了一個板子,焊了4個LED。做了一個紅綠藍黃的流水燈演示。拍攝的原因,蓋了一張白紙。

圖片

圖片

6、使用存在問題

在實際使用的過程中發(fā)現(xiàn),實際驅動時,第一個LED的燈顯示異常。在網(wǎng)上也查找過一些資料,也存在遇到這個問題。解決方法如下:

1)、發(fā)送REST

2)、發(fā)送LED數(shù)據(jù),先發(fā)的為第一個LED數(shù)據(jù),后發(fā)的一次往后遞增

3)、發(fā)送REST

4)、重復發(fā)送第一個LED的數(shù)據(jù)

以上是該款LED使用的一個簡單總結。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • led
    led
    +關注

    關注

    240

    文章

    22988

    瀏覽量

    654483
  • 單片機
    +關注

    關注

    6026

    文章

    44452

    瀏覽量

    630797
  • PWM
    PWM
    +關注

    關注

    114

    文章

    5099

    瀏覽量

    212800
  • RGB
    RGB
    +關注

    關注

    4

    文章

    794

    瀏覽量

    58301
  • WS2812
    +關注

    關注

    0

    文章

    32

    瀏覽量

    6088
收藏 人收藏

    評論

    相關推薦

    WS2812實時時鐘程序

    文件名大小更新時間WS2812實時時鐘程序\APP\24Cxx\24cxx.c54102019-04-05WS2812實時時鐘程序\APP\24Cxx
    發(fā)表于 07-13 07:33

    講講WS2812的數(shù)據(jù)協(xié)議

    可編程定電流控制部分,可以保證LED亮度一致。下面來講講WS2812的數(shù)據(jù)協(xié)議。WS2812采用的是一種叫做單線歸零碼的通訊方式。首先要注意的是,單線歸零碼通過識別電平轉換來判別0和1信號,與一般的電平...
    發(fā)表于 01-11 07:25

    Arduino控制WS2812燈帶的方法

    設計者:STCode(公眾號同名)Arduino控制WS2812燈帶(燈環(huán))1) WS2812WS2812燈帶WS2812燈環(huán)WS2812
    發(fā)表于 01-12 08:08

    WS2811/WS2812擴展器的資料分享

    描述WS2811 / WS2812 擴展器
    發(fā)表于 06-28 07:55

    WS2812驅動的總結和時序的詳細資料分析

    WS2812相信有不少人都用過,大家對這款彩色LED真的是又愛又恨,愛的是它它使用簡單,采用單總線通信方式,節(jié)約IO口,而且可以多級串聯(lián)。而普通的彩色LED不是共陰就是共陽,每個顏色一個引腳,一般
    發(fā)表于 08-01 17:35 ?64次下載
    <b class='flag-5'>WS2812</b>驅動的總結和時序的詳細資料分析

    如何進行WS2812的面板燈制作詳細資料合集免費下載

    本文檔的主要內容詳細介紹的是如何進行WS2812的面板燈制作詳細資料合集免費下載包括了:DMX512轉WS2812 面板燈程序,STC8系列單片機技術參考手冊,WS2812燈板照片,WS281
    發(fā)表于 05-29 17:36 ?162次下載
    如何進行<b class='flag-5'>WS2812</b>的面板燈制作詳細資料合集免費下載

    dfrobot炫彩WS2812 RGB LED可剪裁白色燈帶介紹

    WS2812 RGB裸板白燈條是由一系列的全彩LED組成。
    的頭像 發(fā)表于 12-27 15:35 ?4436次閱讀
    dfrobot炫彩<b class='flag-5'>WS2812</b> RGB <b class='flag-5'>LED</b>可剪裁白色燈帶介紹

    STM32使用LL庫PWM的DMA模式驅動ws2812

    STM32使用LL庫PWM的DMA模式驅動ws2812一、WS2812簡介二、CUBEMX初始化代碼配置一、WS2812簡介給個鏈接吧,ws2812時序簡介
    發(fā)表于 11-30 10:21 ?66次下載
    STM32使用LL庫PWM的DMA模式驅動<b class='flag-5'>ws2812</b>

    WS2811/WS2812擴展器

    電子發(fā)燒友網(wǎng)站提供《WS2811/WS2812擴展器.zip》資料免費下載
    發(fā)表于 07-04 09:35 ?15次下載
    <b class='flag-5'>WS</b>2811/<b class='flag-5'>WS2812</b>擴展器

    WS2812 LED燈條的DIY Arduino 1D乒乓球游戲

    電子發(fā)燒友網(wǎng)站提供《帶WS2812 LED燈條的DIY Arduino 1D乒乓球游戲.zip》資料免費下載
    發(fā)表于 11-04 14:38 ?0次下載
    帶<b class='flag-5'>WS2812</b> <b class='flag-5'>LED</b>燈條的DIY Arduino 1D乒乓球游戲

    如何使用智能手機控制16x16 WS2812 Led矩陣

    電子發(fā)燒友網(wǎng)站提供《如何使用智能手機控制16x16 WS2812 Led矩陣.zip》資料免費下載
    發(fā)表于 11-15 09:49 ?7次下載
    如何使用智能手機控制16x16 <b class='flag-5'>WS2812</b> <b class='flag-5'>Led</b>矩陣

    WS2812 RGB LED開源分享

    電子發(fā)燒友網(wǎng)站提供《WS2812 RGB LED開源分享.zip》資料免費下載
    發(fā)表于 02-01 14:05 ?23次下載
    <b class='flag-5'>WS2812</b> RGB <b class='flag-5'>LED</b>開源分享

    使用ESP8266驅動WS2812彩燈

    本篇介紹ESP8266使用NeoPixel庫來驅動IOT Kit板載WS2812彩燈。
    的頭像 發(fā)表于 05-19 14:31 ?2794次閱讀
    使用ESP8266驅動<b class='flag-5'>WS2812</b>彩燈

    基于ME8S003實現(xiàn)WS2812 LED調光快速解決方案

    基于ME8S003實現(xiàn)WS2812 LED調光快速解決方案
    的頭像 發(fā)表于 09-18 10:56 ?1886次閱讀
    基于ME8S003實現(xiàn)<b class='flag-5'>WS2812</b> <b class='flag-5'>LED</b>調光快速解決方案

    論如何使用WS2812以及類似燈珠

    ? ?WS2812 LED燈珠,這是一種非常流行的可尋址RGB LED。每個WS2812 LED內部集成了控制電路,因此可以通過一個數(shù)據(jù)輸入
    的頭像 發(fā)表于 09-30 15:11 ?162次閱讀
    論如何使用<b class='flag-5'>WS2812</b>以及類似燈珠