0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SystemVerilog相比于Verilog的優(yōu)勢

FPGA技術(shù)驛站 ? 來源:FPGA技術(shù)驛站 ? 2023-10-26 10:05 ? 次閱讀

我們再從對可綜合代碼的支持角度看看SystemVerilog相比于Verilog的優(yōu)勢。針對硬件設(shè)計,SystemVerilog引入了三種進程always_ff,always_comb和always_latch。always_ff用于描述時序邏輯,對應(yīng)FPGA中的觸發(fā)器,其內(nèi)部應(yīng)使用非阻塞(<=)賦值方式,因為它模擬的正是觸發(fā)器傳輸數(shù)據(jù)的方式。always_comb用于描述純組合邏輯,其內(nèi)部使用阻塞賦值方式,采用了隱式的全變量敏感列表。always_latch用于描述鎖存器。FPGA設(shè)計中一般不建議使用鎖存器。這樣,三種進程對應(yīng)三種場景,無論是設(shè)計者還是工具本身對電路意圖都非常清晰。在Verilog中,只有always,換言之,這三種進程都能通過always實現(xiàn)。例如:

always @(posedge clk) 對應(yīng) always_ff@(posedge clk)

always @* 對應(yīng)always_comb或always_latch

SystemVerilog引入了轉(zhuǎn)換操作符,支持類型轉(zhuǎn)換、位寬轉(zhuǎn)換和符號轉(zhuǎn)換。

類型轉(zhuǎn)換:casting_type’(expression)

位寬轉(zhuǎn)換:size’(expression)

符號轉(zhuǎn)換:signed’(expression) 和unsigned’(expression)

再從端口映射看,SystemVerilog支持Verilog傳統(tǒng)的一一映射方式,如下圖所示代碼第3行。同時也支持自動匹配,如代碼第5行,.clk和.rst會自動與名為clk和rst的信號相連。而更為簡潔的是代碼第7行所示的.*連接方式,這表明所有端口將自動與其名字相同的信號相連。盡管這三種方式都是可綜合的,但從代碼風(fēng)格角度而言,仍然建議采用第3行所示方式。在描述測試文件時,可采用第7行所示方式。

adadc66e-739d-11ee-939d-92fbcf53809c.png

在Verilog中,給一個信號所有位賦值為1,需要采用如下圖所示方式,而SystemVerilog可直接采用下圖代碼第12行所示方式。同時此方式還適用于賦值全0、全X和全Z。

adbaaffa-739d-11ee-939d-92fbcf53809c.png

adc60fe4-739d-11ee-939d-92fbcf53809c.png

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600454
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109844
  • System
    +關(guān)注

    關(guān)注

    0

    文章

    164

    瀏覽量

    36801
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1992

    瀏覽量

    60976

原文標(biāo)題:SystemVerilog不只是用于驗證(2)

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    SystemVerilog學(xué)習(xí)一 —— 計數(shù)器

    本帖最后由 鼻子抽筋 2012-2-21 15:41 編輯 SystemVerilog給予Verilog、VHDL和C/C++優(yōu)點為一身的硬件描述語言,很值得學(xué)一學(xué)。1、8-bit up
    發(fā)表于 02-21 15:39

    VHDL相比Verilog學(xué)哪個好

    VHDL相比Verilog學(xué)哪個好PCB打樣找華強 http://www.hqpcb.com/3 樣板2天出貨
    發(fā)表于 11-05 21:34

    systemverilog學(xué)習(xí)教程

    systemverilog的一些基本語法以及和verilog語言之間的區(qū)別。
    發(fā)表于 04-01 14:24

    相比LPDDR4X,LPDDR5有哪些優(yōu)勢?

    LPDDR4X、LPDDR5這些究竟指的是什么意思?它們又有什么區(qū)別?相比LPDDR4X,LPDDR5有哪些優(yōu)勢?
    發(fā)表于 06-18 07:17

    PowerPC架構(gòu)相比ARM有哪些優(yōu)勢

    ARM處理器的三大特點分別是什么?PowerPC架構(gòu)相比ARM有哪些優(yōu)勢
    發(fā)表于 09-23 07:28

    TPYBoard開發(fā)板相比其他微控制器板子的優(yōu)勢在哪?

    Python可以做哪些事情?TPYBoard開發(fā)板相比其他微控制器板子的優(yōu)勢在哪?
    發(fā)表于 10-28 06:55

    SystemVerilog 3.1a語言參考手冊

    本參考手冊詳細(xì)描述了Accellera為使用Verilog硬件描述語言在更高的抽象層次上進行系統(tǒng)的建模和驗證所作的擴展。這些擴展將Verilog語言推向了系統(tǒng)級空間和驗證級空間。SystemVerilog
    發(fā)表于 07-22 12:14 ?187次下載

    SystemC 和SystemVerilog的比較

    就 SystemC 和 SystemVerilog 這兩種語言而言, SystemC 是C++在硬件支持方面的擴展,而 SystemVerilog 則繼承了 Verilog,并對 Verilo
    發(fā)表于 08-16 10:52 ?5332次閱讀

    使用Verilog/SystemVerilog硬件描述語言練習(xí)數(shù)字硬件設(shè)計

    HDLBits 是一組小型電路設(shè)計習(xí)題集,使用 Verilog/SystemVerilog 硬件描述語言 (HDL) 練習(xí)數(shù)字硬件設(shè)計~
    的頭像 發(fā)表于 08-31 09:06 ?1589次閱讀

    Verilog PLI到SystemVerilog DPI的演變過程

    寫過Verilogsystemverilog的人肯定都用過系統(tǒng)自定義的函數(shù)$display,這是預(yù)定好的,可以直接調(diào)用的功能。
    的頭像 發(fā)表于 05-16 09:27 ?826次閱讀
    從<b class='flag-5'>Verilog</b> PLI到<b class='flag-5'>SystemVerilog</b> DPI的演變過程

    verilog/systemverilog中隱藏的初始化說明

    VerilogSystemVerilog中經(jīng)常需要在使用變量或者線網(wǎng)之前,期望變量和線網(wǎng)有對應(yīng)的初始值
    的頭像 發(fā)表于 08-25 09:47 ?952次閱讀
    <b class='flag-5'>verilog</b>/<b class='flag-5'>systemverilog</b>中隱藏的初始化說明

    verilog-2005和systemverilog-2017標(biāo)準(zhǔn)規(guī)范

    作為邏輯工程師,在FPGA和數(shù)字IC開發(fā)和設(shè)計中,一般采用verilog,VHDL或SystemVerilog等作為硬件描述語言進行工程設(shè)計,將一張白板描繪出萬里江山圖景。
    的頭像 發(fā)表于 09-04 10:10 ?2465次閱讀
    <b class='flag-5'>verilog</b>-2005和<b class='flag-5'>systemverilog</b>-2017標(biāo)準(zhǔn)規(guī)范

    systemverilog:logic比reg更有優(yōu)勢?

    systemverilog協(xié)議中,logic定義四態(tài)值,即向量(vector)的每個位(bit)可以是邏輯0, 1, Z或X,與verilog協(xié)議中的reg很接近。但是logic有個很明顯的優(yōu)勢,不允許多驅(qū)動。
    的頭像 發(fā)表于 09-28 17:34 ?2370次閱讀
    <b class='flag-5'>systemverilog</b>:logic比reg更有<b class='flag-5'>優(yōu)勢</b>?

    SystemVerilog在硬件設(shè)計部分有哪些優(yōu)勢

    談到SystemVerilog,很多工程師都認(rèn)為SystemVerilog僅僅是一門驗證語言,事實上不只如此。傳統(tǒng)的Verilog和VHDL被稱為HDL(Hardware Description
    的頭像 發(fā)表于 10-19 11:19 ?1035次閱讀
    <b class='flag-5'>SystemVerilog</b>在硬件設(shè)計部分有哪些<b class='flag-5'>優(yōu)勢</b>

    systemverilog:logic比reg更有優(yōu)勢

    systemverilog協(xié)議中,logic定義四態(tài)值,即向量(vector)的每個位(bit)可以是邏輯0, 1, Z或X,與verilog協(xié)議中的reg很接近。但是logic有個很明顯的優(yōu)勢,不允許多驅(qū)動。
    的頭像 發(fā)表于 10-26 09:32 ?901次閱讀
    <b class='flag-5'>systemverilog</b>:logic比reg更有<b class='flag-5'>優(yōu)勢</b>