0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

AXI的控制和數(shù)據(jù)通道分離

麥辣雞腿堡 ? 來(lái)源:TrustZone ? 作者:TrustZone ? 2023-10-31 16:53 ? 次閱讀

AXI的控制和數(shù)據(jù)通道分離,可以帶來(lái)很多好處。地址和控制信息相對(duì)數(shù)據(jù)的相位獨(dú)立,可以先發(fā)地址,然后再是數(shù)據(jù),這樣自然而然的支持顯著操作,也就是outstanding 操作。

Master訪問(wèn)slave的時(shí)候,可以不等需要的操作完成,就發(fā)出下一個(gè)操作。這樣,可以讓slave在控制流的處理上流水起來(lái),達(dá)到提速的作 用。

同時(shí)對(duì)于master,也許需要對(duì)不同的地址和slave就行訪問(wèn),所以可以對(duì)不同的slave 連續(xù)操作。而這樣的操作,由于slave返回?cái)?shù)據(jù)的先后可能不按照master 發(fā)出控制的先后進(jìn)行,導(dǎo)致出現(xiàn)了亂序操作(out of order )。

亂序傳輸需要依賴ARID來(lái)完成,亂序傳輸是針對(duì)transaction而言的,可以認(rèn)為ARID是transaction的ID。

若支持亂序傳輸,當(dāng)存在多個(gè)transaction時(shí),從機(jī)可以不按照transaction的發(fā)起順序進(jìn)行返回?cái)?shù)據(jù),主機(jī)通過(guò)從機(jī)返回的BID(寫)或RID(讀)來(lái)判斷返回的數(shù)據(jù)屬于哪個(gè)transaction。

另外,擁有相同AWID與ARID的transaction,其返回?cái)?shù)據(jù)需要按照transaction發(fā)起的順序進(jìn)行返回?cái)?shù)據(jù)。亂序傳輸?shù)臄?shù)據(jù)傳輸過(guò)程如下圖所示:

圖片

interleaving 交織

寫交織使用WID來(lái)實(shí)現(xiàn),interleaving用來(lái)實(shí)現(xiàn)不同transaction中的beat的交替?zhèn)鬏?,但同一transaction的beat是需要按照順序進(jìn)行傳輸?shù)摹?/p>

AXI4中已經(jīng)取消了WID信號(hào)的使用,不再支持寫交織。interleaving的輸出傳輸過(guò)程如下:

圖片

其中數(shù)據(jù)0與數(shù)據(jù)1屬于同一transaction的不同beat,地址A與地址B表示兩個(gè)transaction。

關(guān)于AXI4不支持寫交織是一個(gè)非常自然地過(guò)程。為了提高效率,AXI總線的寫數(shù)據(jù)通道并不依賴寫地址通道,這就是說(shuō),寫數(shù)據(jù)可以先于寫地址發(fā)送,但是總線不知道寫地址,沒(méi)辦法將數(shù)據(jù)發(fā)送出去,只能暫存在buffer中,等待寫地址。比較理想的方案是總線為每個(gè)master預(yù)留一個(gè)寫地址通道buffer和寫數(shù)據(jù)通道buffer。

在這種方案下,若支持寫交織,地址通道buffer和數(shù)據(jù)通道buffer的數(shù)據(jù)可能永遠(yuǎn)都對(duì)不上(AWID與WID),這會(huì)造成該master的所有數(shù)據(jù)都被堵塞。當(dāng)然可以采用其他方案來(lái)解決這個(gè)問(wèn)題,比如說(shuō)為每個(gè)master分配多個(gè)buffer,但實(shí)現(xiàn)起來(lái)會(huì)比較復(fù)雜。

合理地設(shè)計(jì)可以減少寫交織被取消帶來(lái)的影響,master應(yīng)該在某個(gè)transaction的數(shù)據(jù)準(zhǔn)備好之后再向總線發(fā)起寫請(qǐng)求,否則mater可能長(zhǎng)時(shí)間占用總線,大大降低總線的效率。因此,設(shè)計(jì)人員本就應(yīng)該避免寫交織十分高效時(shí)的場(chǎng)景,設(shè)計(jì)合理的情況下,寫交織的取消并不會(huì)給系統(tǒng)帶來(lái)明顯的效率影響。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6754

    瀏覽量

    88610
  • 總線
    +關(guān)注

    關(guān)注

    10

    文章

    2848

    瀏覽量

    87839
  • 通道
    +關(guān)注

    關(guān)注

    0

    文章

    59

    瀏覽量

    20313
  • AXI
    AXI
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    16556
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    解讀AXI總線系統(tǒng)中的多交易操作應(yīng)用

    AXI協(xié)議定義了5個(gè)獨(dú)立的通道,每個(gè)通道通過(guò)VALID和READY信號(hào)完成握手機(jī)制。 五個(gè)通道分別為:讀地址通道、讀
    發(fā)表于 12-06 11:33 ?1688次閱讀
    解讀<b class='flag-5'>AXI</b>總線系統(tǒng)中的多交易操作應(yīng)用

    玩轉(zhuǎn)Zynq連載3——AXI總線協(xié)議介紹1

    eXtensibleInterface)協(xié)議是一種面向高性能、高帶寬系統(tǒng)設(shè)計(jì)的總線協(xié)議,能夠滿足各種高速系統(tǒng)的總線互聯(lián)。AXI協(xié)議的主要特點(diǎn)有:●獨(dú)立的地址、控制和數(shù)據(jù)接口●支持使用字節(jié)選通的不對(duì)齊
    發(fā)表于 05-06 16:55

    高級(jí)可擴(kuò)展接口(AXI)簡(jiǎn)介

    和低功耗接口。AXI頻道AXI主站和從站之間有五個(gè)獨(dú)立的通道。它們是: 讀取地址通道讀取數(shù)據(jù)通道寫地址
    發(fā)表于 09-28 10:14

    AXI4協(xié)議的讀寫通道結(jié)構(gòu)

      AXI4協(xié)議基于猝發(fā)式傳輸機(jī)制。在地址通道上,每個(gè)交易有地址和控制信息,這些信息描述了需要傳輸?shù)?b class='flag-5'>數(shù)據(jù)性質(zhì)。主從設(shè)備間的數(shù)據(jù)傳輸有兩種情況
    發(fā)表于 01-08 16:58

    AXI總線的相關(guān)資料下載

    AXI總線學(xué)習(xí)AXI協(xié)議的主要特征主要結(jié)構(gòu)通道定義讀寫地址通道數(shù)據(jù)通道數(shù)據(jù)通道寫操作回應(yīng)信號(hào)
    發(fā)表于 02-09 07:17

    AXI接口協(xié)議詳解

    說(shuō)明首先說(shuō)AXI4總線和AXI4-Lite總線具有相同的組成部分:(1)讀地址通道,包含ARVALID, ARADDR, ARREADY信號(hào);(2)讀數(shù)據(jù)通道,包含RVALID, RD
    發(fā)表于 04-08 10:45

    看看Axi4寫通道decoder的設(shè)計(jì)

    讀寫分離的設(shè)計(jì)在Axi4總線中,讀和寫通道是完全相互獨(dú)立,互不干擾。故而無(wú)論是在設(shè)計(jì)Decoder還是Arbiter時(shí),均可以采用讀寫分離的方式。如前文所述,SpinalHDL在基于
    發(fā)表于 08-03 14:27

    AMBA AXI協(xié)議指南

    。 ?適用于具有高初始訪問(wèn)延遲的內(nèi)存控制器。 ?提供了實(shí)現(xiàn)互連架構(gòu)的靈活性。 ?向后兼容AHB和APB接口。 AXI協(xié)議的主要特點(diǎn)是: ?獨(dú)立的地址/控制和數(shù)據(jù)階段。 ?支持使用字節(jié)選
    發(fā)表于 08-02 09:44

    AXI 總線和引腳的介紹

    1、AXI 總線通道,總線和引腳的介紹 AXI接口具有五個(gè)獨(dú)立的通道: (1)寫地址通道(AW):write address channel
    發(fā)表于 01-05 08:13 ?1w次閱讀
    <b class='flag-5'>AXI</b> 總線和引腳的介紹

    AXI4接口協(xié)議的基礎(chǔ)知識(shí)

    AXI-4 Memory Mapped也被稱之為AXI-4 Full,它是AXI4接口協(xié)議的基礎(chǔ),其他AXI4接口是該接口的變形??傮w而言,AXI
    的頭像 發(fā)表于 09-23 11:20 ?5947次閱讀
    <b class='flag-5'>AXI</b>4接口協(xié)議的基礎(chǔ)知識(shí)

    智能靈活的大電流DC/DC控制器簡(jiǎn)化了電信和數(shù)據(jù)通信系統(tǒng)中的電源供應(yīng)

    智能靈活的大電流DC/DC控制器簡(jiǎn)化了電信和數(shù)據(jù)通信系統(tǒng)中的電源供應(yīng)
    發(fā)表于 05-18 19:42 ?6次下載
    智能靈活的大電流DC/DC<b class='flag-5'>控制</b>器簡(jiǎn)化了電信<b class='flag-5'>和數(shù)據(jù)通</b>信系統(tǒng)中的電源供應(yīng)

    AXI總線學(xué)習(xí)(AXI3&4)

    AXI總線學(xué)習(xí)AXI協(xié)議的主要特征主要結(jié)構(gòu)通道定義讀寫地址通道數(shù)據(jù)通道數(shù)據(jù)通道寫操作回應(yīng)信號(hào)
    發(fā)表于 12-05 16:21 ?5次下載
    <b class='flag-5'>AXI</b>總線學(xué)習(xí)(<b class='flag-5'>AXI</b>3&4)

    AXI通道定義及AXI總線信號(hào)描述

    本文主要介紹了AXI通道以及在每個(gè)通道下信號(hào)的概述。
    的頭像 發(fā)表于 08-04 10:49 ?1.1w次閱讀

    關(guān)于AXI BRAM控制器的相關(guān)內(nèi)容

    所有與axis主設(shè)備的通信都是通過(guò)一個(gè)5通道的axis接口進(jìn)行的。所有寫操作都在AXI總線的寫地址通道(AW)上啟動(dòng),該通道指定了寫事務(wù)的類型和相應(yīng)的地址信息。寫
    的頭像 發(fā)表于 11-16 11:33 ?3600次閱讀

    AXI總線通道定義

    通道定義 (1)讀AWC): 寫入本次傳輸操作所需的地址和控制信息,讀寫操作都擁有各自的地址通道。 (2)讀數(shù)據(jù)通道(RC): 讀數(shù)據(jù)通道
    的頭像 發(fā)表于 10-31 15:57 ?618次閱讀