0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx FPGA IP之Block Memory Generator AXI接口說(shuō)明

CHANBAEK ? 來(lái)源:FPGA自學(xué)筆記分享 ? 作者:FPGA自學(xué)筆記分享 ? 2023-11-14 18:25 ? 次閱讀

之前的文章對(duì)Block Memory Generator的原生接口做了說(shuō)明和仿真,本文對(duì)AXI接口進(jìn)行說(shuō)明。

如下圖所示,AXI4接口的BMG是基于原生接口進(jìn)行包裝的。有兩種可用的AXI4接口樣式:AXI4和AXI4- lite。

圖片

典型的應(yīng)用場(chǎng)景包括:

1、AXI4 Block Memories–Memory Slave Mode:內(nèi)存從屬模式下的AXI4塊MEM,如下圖所示。

圖片

2、AXI4-Lite Block Memories–Memory Slave Mode:內(nèi)存從屬模式下的AXI4-Lite塊MEM,如下圖所示。

圖片

3、AXI4 Block Memories–Peripheral Slave Mode:外設(shè)從屬模式下的AXI4塊MEM,如下圖所示。

圖片

4、AXI4-Lite Block Memories–Peripheral Slave Mode:外設(shè)從屬模式下的AXI4-Lite塊MEM,如下圖所示。

圖片

對(duì)于AXI4和AXI4-Lite接口的讀寫(xiě),就是標(biāo)準(zhǔn)的AXI4協(xié)議,AXI4和AXI4-Lite的寫(xiě)操作如下圖所示。整個(gè)流程為:主端準(zhǔn)備好寫(xiě)地址(AXI4還有AWLEN、AWSIZE、AWSIZE、AWBURST信息)然后拉高AWVALID信號(hào),當(dāng)AWREADY拉高后表示地址被接受。同時(shí)主端準(zhǔn)備好寫(xiě)數(shù)據(jù)并拉高AVALID信號(hào)(不用等待從端拉高AWREADY),當(dāng)WREADY拉高后表示數(shù)據(jù)被接受。在AXI4模式下,BURST的最后一個(gè)輸出傳輸時(shí)要拉高WLAST信號(hào)。最后從端返回響應(yīng)信號(hào)。詳細(xì)的依賴關(guān)系可參考FPGA IP之AXI4協(xié)議3_通道間的依賴關(guān)系。

圖片

圖片

AXI4和AXI4-Lite的讀操作如下圖所示。整個(gè)流程為:主端準(zhǔn)備好寫(xiě)地址(AXI4還有ARLEN、ARSIZE、ARBURST信息)然后拉高ARVALID信號(hào),當(dāng)ARREADY拉高后表示地址被接受。同時(shí)從端準(zhǔn)備好寫(xiě)數(shù)據(jù)并拉高RVALID信號(hào),當(dāng)RREADY拉高后表示數(shù)據(jù)被接受。在AXI4模式下,BURST的最后一個(gè)輸出傳輸時(shí)要拉高RLAST信號(hào)。最后從端返回響應(yīng)信號(hào)。詳細(xì)的依賴關(guān)系可參考FPGA IP之AXI4協(xié)議3_通道間的依賴關(guān)系

圖片

圖片

當(dāng)然AXI4接口除了支持上圖中的Incremental Burst(增量突發(fā))模式外,還支持Wrap Burst、Narrow Transactions 、Unaligned Transactions 模式,這些僅是接口方式的差異,主要是對(duì)AXI4協(xié)議的支持上,和Block Memory關(guān)系不大,這里不再詳細(xì)描述。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600449
  • 接口
    +關(guān)注

    關(guān)注

    33

    文章

    8353

    瀏覽量

    150508
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2140

    瀏覽量

    120680
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3991

    瀏覽量

    133214
  • AXI
    AXI
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    16556
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Xilinx zynq AXI總線全面解讀

    AXI (Advanced eXtensible Interface) 本是由ARM公司提出的一種總線協(xié)議, Xilinx從 6 系列的 FPGA 開(kāi)始對(duì) AXI 總線提供支持,目前使
    的頭像 發(fā)表于 12-04 12:22 ?6929次閱讀
     <b class='flag-5'>Xilinx</b> zynq <b class='flag-5'>AXI</b>總線全面解讀

    XILINX FPGA IPClocking Wizard詳解

    鎖相環(huán)基本上是每一個(gè)fpga工程必不可少的模塊,之前文檔xilinx 7 系列FPGA時(shí)鐘資源對(duì)xilinx fpga的底層時(shí)鐘資源做過(guò)
    發(fā)表于 06-12 17:42 ?4949次閱讀
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b><b class='flag-5'>之</b>Clocking Wizard詳解

    AXI VIP當(dāng)作master時(shí)如何使用

    默認(rèn),當(dāng)然如果可以根據(jù)自己的實(shí)際需求作改動(dòng),比如id位寬,數(shù)據(jù)位寬等等。 image-20230726185112648 再添加AXI BRAM Controller和Block Memory
    的頭像 發(fā)表于 07-27 09:19 ?1029次閱讀
    <b class='flag-5'>AXI</b> VIP當(dāng)作master時(shí)如何使用

    Xilinx FPGA IPBlock Memory Generator功能概述

    Xilinx Block Memory Generator(BMG)是一個(gè)先進(jìn)的內(nèi)存構(gòu)造器,它使用Xilinx
    的頭像 發(fā)表于 11-14 17:49 ?2229次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b><b class='flag-5'>之</b><b class='flag-5'>Block</b> <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b>功能概述

    Xilinx FPGA IPBlock Memory Generator仿真

    上文對(duì)BMG ip的基本情況進(jìn)行了簡(jiǎn)單的描述,本文通過(guò)例化仿真來(lái)實(shí)際使用功能一下這個(gè)IP。
    的頭像 發(fā)表于 11-14 18:24 ?1564次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b><b class='flag-5'>之</b><b class='flag-5'>Block</b> <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b>仿真

    XILINX FPGA IPAXI Traffic Generator

    AXI Traffic Generator IP 用于在AXI4和AXI4-Stream互連以及其他AX
    的頭像 發(fā)表于 11-23 16:03 ?2136次閱讀
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b><b class='flag-5'>之</b><b class='flag-5'>AXI</b> Traffic <b class='flag-5'>Generator</b>

    Xilinx FPGA片內(nèi)ROM實(shí)例ROM配置

    Xilinx FPGA入門(mén)連載44:FPGA片內(nèi)ROM實(shí)例ROM配置特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1
    發(fā)表于 01-09 16:02

    XILINX MIG(DDR3) IPAXI接口與APP接口的區(qū)別以及優(yōu)缺點(diǎn)對(duì)比

    XILINX MIG(DDR3) IPAXI接口與APP接口的區(qū)別以及優(yōu)缺點(diǎn)對(duì)比
    發(fā)表于 11-24 21:47

    如何使用Xilinx AXI VIP對(duì)自己的設(shè)計(jì)搭建仿真驗(yàn)證環(huán)境的方法

    使用Vivado生成AXI VIP(AXI Verification IP)來(lái)對(duì)自己設(shè)計(jì)的AXI接口模塊進(jìn)行全方位的驗(yàn)證(如使用VIP的Ma
    發(fā)表于 10-09 16:08

    如何使用Xilinx AXI進(jìn)行驗(yàn)證和調(diào)試

    了解如何使用Xilinx AXI驗(yàn)證IP有效驗(yàn)證和調(diào)試AXI接口。 該視頻回顧了使用的好處,以及如何使用示例設(shè)計(jì)進(jìn)行模擬。
    的頭像 發(fā)表于 11-20 06:38 ?4007次閱讀

    FPGA程序設(shè)計(jì):如何封裝AXI_SLAVE接口IP

    FPGA程序設(shè)計(jì)的很多情形都會(huì)使用到AXI接口總線,以PCIe的XDMA應(yīng)用為例,XDMA有兩個(gè)AXI接口,分別是
    的頭像 發(fā)表于 10-30 12:32 ?4298次閱讀
    <b class='flag-5'>FPGA</b>程序設(shè)計(jì):如何封裝<b class='flag-5'>AXI</b>_SLAVE<b class='flag-5'>接口</b><b class='flag-5'>IP</b>

    FPGA AXI4協(xié)議學(xué)習(xí)筆記(二)

    上文FPGA IPAXI4協(xié)議1_協(xié)議構(gòu)架對(duì)協(xié)議框架進(jìn)行了說(shuō)明,本文對(duì)AXI4
    的頭像 發(fā)表于 05-24 15:05 ?1335次閱讀
    <b class='flag-5'>FPGA</b> <b class='flag-5'>AXI</b>4協(xié)議學(xué)習(xí)筆記(二)

    FPGA AXI4協(xié)議學(xué)習(xí)筆記(三)

    上文FPGA IPAXI4協(xié)議1_信號(hào)說(shuō)明AXI協(xié)議5個(gè)通道的
    的頭像 發(fā)表于 05-24 15:06 ?1017次閱讀
    <b class='flag-5'>FPGA</b> <b class='flag-5'>AXI</b>4協(xié)議學(xué)習(xí)筆記(三)

    基于Xilinx FPGA AXI-EMC IP的EMIF通信測(cè)試

    外部存儲(chǔ)器接口( EMIF )通信常用于FPGA和DSP之間的數(shù)據(jù)傳輸,即將FPGA作為DSP的外部SRAM、或者協(xié)同處理器等。Xilinx提供了A
    的頭像 發(fā)表于 08-31 11:25 ?5513次閱讀
    基于<b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>AXI</b>-EMC <b class='flag-5'>IP</b>的EMIF通信測(cè)試

    XILINX FPGA IPFIFO Generator例化仿真

    上文XILINX FPGA IPFIFO對(duì)XILINX FIFO Generator
    的頭像 發(fā)表于 09-07 18:31 ?1660次閱讀
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b><b class='flag-5'>之</b>FIFO <b class='flag-5'>Generator</b>例化仿真