0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

怎樣單獨使用modelsim仿真xilinx呢?

pdh的FPGA ? 來源:pdh的FPGA ? 2023-12-04 18:26 ? 次閱讀

如何使用modelsim仿真xilinx

直接在modelsim軟件內(nèi)執(zhí)行.do文件進行仿真,不通過vivado調(diào)用modelsim,vivado僅用于生成IP核。

1.需要vivado軟件安裝路徑下的glbl.v文件

路徑舉例:D:XilinxVivado2017.4dataverilogsrcglbl.v

2.需要編寫sim.do文件

(1). sim.do文件包含了testbench.v文件.

(2).其他源代碼.v/.sv文件.

(3).IP simnetlist.v文件,仿真IP核需要.

3.用一個文件夾,將該兩個文件放同一目錄下,如:

46797af6-928d-11ee-939d-92fbcf53809c.png

4.文件sim.do編寫如下舉例:

vlib work;


vlog glbl.v


Vlog ../../../duc_in_sel_module_5g/dds.v


Vlog ../../../duc_in_sel_module_5g/duc_in_sel_module_5g.v


Vlog ../../../duc_in_sel_module_5g/ip/complex_multiplier_sim_netlist.v


Vlog ../../../duc_in_sel_module_5g/ip/IF_DDS_sim_netlist.v


vlog -sv ../cpri_ctrl_nr/cpri_ctrl_nr_decomp_regroup.sv


vlog -sv ../cpri_ctrl_nr/cpri_ctrl_nr_tx_data_regroup.sv


vlog -sv ../cpri_ctrl_nr/cpri_ctrl_nr_tx_data_sel.sv


vlog -sv ../testbench/tb_xxxx.sv


vsim -t ps -novopt work.tb_xxxx glbl 


-L secureip 


-L simprims_ver 


-L unifast_ver 


-L unimacro_ver 


-L unisims_ver 


-L xpm


log -r /*



以上編寫完成

其中 ../ 為sim.do文件當前目錄的上一級文件夾,../../ 則為上兩級文件夾

IF_DDS_sim_netlist.v 就是vivado IP核生成以后,出來的仿真用.v文件

vlib work; 在sim.do文件夾目錄下在創(chuàng)建一個work文件夾

5.打開modelsim窗口

在gui界面輸入

468b094c-928d-11ee-939d-92fbcf53809c.png

cd {sim.do文件路徑}

{}中的內(nèi)容就是sim.do文件的路徑,絕對路徑。

例如

cd {E:cpri_ctrl_topsim}

輸入完成以后,直接輸入 do sim.do 指令

即可






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2140

    瀏覽量

    120682
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    799

    瀏覽量

    66128
  • MODELSIM仿真
    +關(guān)注

    關(guān)注

    0

    文章

    15

    瀏覽量

    7270

原文標題:單獨使用modelsim仿真xilinx

文章出處:【微信號:pdh的FPGA,微信公眾號:pdh的FPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    如何在ModelSim中添加Xilinx仿真

    今天給大俠帶來在FPGA設(shè)計應(yīng)用中如何在ModelSim中添加Xilinx仿真庫,話不多說,上貨。 注意:ModelSim一定要安裝在不帶空格的目錄下,即不要安裝在“Progr
    發(fā)表于 07-03 18:16

    安富利GSM給您說說ModelSim仿真XILINX庫添加

    本帖最后由 diangongshi 于 2012-2-24 21:42 編輯 蓋住了版本號,為的是告訴大家,這個各個版本通用。在使用ModelSim軟件對Xilinx ISE進行后仿真時,需要
    發(fā)表于 02-24 21:40

    Xilinx ISE 12.2 調(diào)用Modelsim進行行為仿真詳解

    本帖最后由 eehome 于 2013-1-5 09:54 編輯 Xilinx ISE 12.2 調(diào)用Modelsim進行行為仿真詳解
    發(fā)表于 03-05 16:05

    Altera和Xilinx Modelsim仿真

    Altera和Xilinx Modelsim仿真庫Altera和Xilinx Modelsim仿真
    發(fā)表于 08-10 18:31

    FIR在單獨modelsim仿真

    quartus和modelsim聯(lián)合仿真容易出現(xiàn)問題,所以一般單獨modelsim仿真,附件是mode
    發(fā)表于 02-17 20:21

    MODELSIM仿真XILINX工程

    本帖最后由 lee_st 于 2017-10-31 09:24 編輯 在MODELSIM仿真XILINX工程
    發(fā)表于 10-30 17:06

    MODELSIM仿真XILINX工程

    MODELSIM仿真XILINX工程
    發(fā)表于 01-24 17:25

    ModelSim中添加xilinx仿真

    ModelSim中添加xilinx仿真庫的具體步驟
    發(fā)表于 09-12 14:58

    MODELSIM仿真(適合xilinx ISE)

    基于Xilinx ISE的modelsim仿真教程
    發(fā)表于 11-30 15:52 ?8次下載

    減少Xilinx Ise與Modelsim聯(lián)合仿真的錯誤方法

    我們經(jīng)常使用Xilinx Ise與Modelsim聯(lián)合仿真,但是經(jīng)常出現(xiàn)一些由于庫沒有編譯而出現(xiàn)的錯誤!下面是我總結(jié)的方法:
    發(fā)表于 02-11 13:43 ?1487次閱讀
    減少<b class='flag-5'>Xilinx</b> Ise與<b class='flag-5'>Modelsim</b>聯(lián)合<b class='flag-5'>仿真</b>的錯誤方法

    Xilinx ISE是如何調(diào)用ModelSim進行仿真

    在我們用ModelSim仿真的時候經(jīng)常是修改一點一點修改代碼,這樣會造成一個無奈的操作循環(huán):修改代碼--->編譯代碼--->仿真設(shè)置--->進入仿真頁面--->添加需要觀察的波形---
    發(fā)表于 02-11 15:25 ?1.1w次閱讀
    <b class='flag-5'>Xilinx</b> ISE是如何調(diào)用<b class='flag-5'>ModelSim</b>進行<b class='flag-5'>仿真</b>的

    仿真軟件ModelSim及其應(yīng)用,ModelSim仿真流程

    ModelSim不僅可以用于數(shù)字電路系統(tǒng)設(shè)計的功能仿真,還可以應(yīng)用于數(shù)字電路系統(tǒng)設(shè)計的時序仿真。 ModelSim的使用中,最基本的步驟包括創(chuàng)建工程、編寫源代碼、編譯、啟動
    的頭像 發(fā)表于 12-29 11:35 ?9507次閱讀

    Modelsim仿真教程Modelsim的基礎(chǔ)入門基礎(chǔ)教程免費下載

    筆者一直以來都在糾結(jié),自己是否要為仿真編輯相關(guān)的教程?一般而言,Modelsim等價仿真已經(jīng)成為大眾的常識,但是學(xué)習仿真是否學(xué)習
    發(fā)表于 04-30 18:24 ?23次下載
    <b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>教程<b class='flag-5'>Modelsim</b>的基礎(chǔ)入門基礎(chǔ)教程免費下載

    基于ModelSim使用四ModelSim手動仿真教程

    4.1 新建仿真工程 在開始動手仿真之前,首先,我們需要創(chuàng)建一個文件夾用來放置我們的 ModelSim 仿真工程文件,這里我們就在之前創(chuàng)建的 Quartus 工程目錄下的 simula
    的頭像 發(fā)表于 07-23 11:10 ?3928次閱讀

    Vivado調(diào)用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以調(diào)用Modelsim進行仿真,下面將介紹如何對vivado進行配置并調(diào)用Models
    的頭像 發(fā)表于 07-24 09:04 ?3356次閱讀
    Vivado調(diào)用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>