0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA設(shè)計(jì)的常用基本時(shí)序路徑分析

FPGA設(shè)計(jì)論壇 ? 來(lái)源:FPGA設(shè)計(jì)論壇 ? 2024-01-18 16:31 ? 次閱讀

在高速的同步電路設(shè)計(jì)中,時(shí)序決定了一切,要求所有時(shí)序路徑都必須在約束限制的時(shí)鐘周期內(nèi),這成為設(shè)計(jì)人員最大的難題,因此,首先確定和分析基本時(shí)序路徑有助于設(shè)計(jì)者快速,準(zhǔn)確地計(jì)算時(shí)序裕量,使系統(tǒng)穩(wěn)定工作,XILINX公司提倡的幾種常用基本路徑。

(1)Clock-to-Setup路徑:

clock-to-setup路徑從觸發(fā)器的輸入端開(kāi)始,結(jié)束于下一級(jí)觸發(fā)器,鎖存器或者RAM的輸入端,對(duì)終止端的數(shù)據(jù)信號(hào)要求一定的建立時(shí)間。

如下圖所示:

096c4bb2-b5d7-11ee-8b88-92fbcf53809c.png

該條路徑包括了觸發(fā)器內(nèi)部clock-to-Q的延遲,觸發(fā)器之間的由組合邏輯造成的路徑延遲以及目標(biāo)觸發(fā)器的建立時(shí)間,其延時(shí)是數(shù)據(jù)從源觸發(fā)器開(kāi)始,在下一個(gè)時(shí)鐘沿來(lái)到之前通過(guò)組合邏輯和布線的最大時(shí)間,Clock-to-Setup時(shí)間可通過(guò)約束文件中的周期約束來(lái)限制。

(2)Clock-to-pad路徑:

Clock-to-Pad路徑從寄存器或者鎖存器的時(shí)鐘輸入端開(kāi)始,終止于芯片的輸出引腳,中間經(jīng)過(guò)了觸發(fā)器輸出端以及所有的組合邏輯,如下圖所示:

097fde34-b5d7-11ee-8b88-92fbcf53809c.png

這條路徑包括了經(jīng)過(guò)觸發(fā)器的延時(shí)和從觸發(fā)器到輸出引腳之間的邏輯延遲,在約束文件中,可以通過(guò)OFFSET語(yǔ)句和FROM:TO來(lái)約束,如果使用OFFSET語(yǔ)句,那么時(shí)延計(jì)算時(shí)會(huì)包含時(shí)鐘輸入BUFFER/ROUTING延時(shí);如果使用FROM:TO約束,則延時(shí)從觸發(fā)器自身開(kāi)始,不包括輸入路徑,比較精確,所以使用相對(duì)更頻繁一些。

① OFFSET語(yǔ)句:OFFSET說(shuō)明了外部時(shí)鐘和與其相關(guān)的輸入,輸出數(shù)據(jù)引腳之間的時(shí)序關(guān)系。其語(yǔ)法規(guī)則如下:

OFFSET={IN/OUT}"offset_time"[unit] {BEFORE/AFTER} "clk_name"[TIMEGRP"group_name"];

OFFSET可以用于設(shè)置多類(lèi)約束,對(duì)于Clock-to-Pad需要將屬性配置為OUT AFTER, 例如:

NET Q_out OFFSET = OUT 35.0 AFTER "CLK_SYS"

② FROM :TO 語(yǔ)句 :FROM:TO定義了兩組信號(hào)之間時(shí)序關(guān)系。

其語(yǔ)法規(guī)則如下:

#TIMESPEC "TSname" = FROM "group1"TO "group2" value;

其中,TSname 必須以TS開(kāi)頭,group1是起始路徑,group2是目的路徑,value值的默認(rèn)單位為ns,也可以使用MHZ。

實(shí)例:

TIMESPEC TS_aa = FROM FFS TO PAD 10;

(3)Pad-to-Pad路徑:

Pad-to-Pad路徑從芯片輸入信號(hào)端口開(kāi)始,結(jié)束于芯片輸出信號(hào)端口,中間包含所有組合邏輯,但并不包含任何同步邏輯如圖所示:

09a4396e-b5d7-11ee-8b88-92fbcf53809c.png

Pad-to-Pad路徑延時(shí)是數(shù)據(jù)輸入到芯片,經(jīng)過(guò)邏輯延時(shí)和布線時(shí)延后再輸出芯片的最大時(shí)間要求,在約束文件中任然通過(guò)FROM:TO來(lái)約束,其語(yǔ)法如下:

TIMESPEC TS_aa = FROMPADSTO PADS 10 ;

(4)Pad-to-Setup路徑

Pad-to-setup路徑從芯片的輸入信號(hào)端口開(kāi)始,結(jié)束于同步電路模塊(觸發(fā)器,鎖存器和RAM),對(duì)相應(yīng)的數(shù)據(jù)信號(hào)要求一定的建立時(shí)間,如下圖所示:

09b1fb76-b5d7-11ee-8b88-92fbcf53809c.png

該路徑可以通過(guò)BUFFER和所有組合邏輯,不包含任何同步電路木塊和雙向端口,是數(shù)據(jù)到達(dá)芯片的最大時(shí)間要求,和Clock-to-Pad一樣,該路徑可以通過(guò)OFFSET和FROM:TO來(lái)設(shè)計(jì),其中OFFSET語(yǔ)句的屬性設(shè)置為OFFSET IN BEFORE。

例如:

OFFSET = IN 10 ns BEFORE my_clk TIMEGRP My_FFS;

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600483
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1992

    瀏覽量

    60976
  • 時(shí)序
    +關(guān)注

    關(guān)注

    5

    文章

    380

    瀏覽量

    37230

原文標(biāo)題:FPGA設(shè)計(jì)的常用基本時(shí)序路徑分析

文章出處:【微信號(hào):gh_9d70b445f494,微信公眾號(hào):FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    FPGA案例之時(shí)序路徑時(shí)序模型解析

    表。 這4類(lèi)路徑中,我們最為關(guān)心是②的同步時(shí)序路徑,也就是FPGA內(nèi)部的時(shí)序邏輯。 時(shí)序模型 典
    的頭像 發(fā)表于 11-17 16:41 ?3041次閱讀
    <b class='flag-5'>FPGA</b>案例之<b class='flag-5'>時(shí)序</b><b class='flag-5'>路徑</b>與<b class='flag-5'>時(shí)序</b>模型解析

    詳細(xì)解析vivado約束時(shí)序路徑分析問(wèn)題

    路徑分析問(wèn)題作一介紹: 1、時(shí)鐘網(wǎng)絡(luò)分析 時(shí)鐘網(wǎng)絡(luò)反映了時(shí)鐘從時(shí)鐘引腳進(jìn)入FPGA后在FPGA內(nèi)部的傳播路徑。 報(bào)告時(shí)鐘網(wǎng)絡(luò)命令可以從以下位
    的頭像 發(fā)表于 11-29 10:34 ?8516次閱讀

    FPGA設(shè)計(jì)的常用基本時(shí)序路徑分析

    OFFSET語(yǔ)句:OFFSET說(shuō)明了外部時(shí)鐘和與其相關(guān)的輸入,輸出數(shù)據(jù)引腳之間的時(shí)序關(guān)系。
    的頭像 發(fā)表于 11-25 14:23 ?3167次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)的<b class='flag-5'>常用</b>基本<b class='flag-5'>時(shí)序</b><b class='flag-5'>路徑分析</b>

    vivado約束案例:跨時(shí)鐘域路徑分析報(bào)告

    跨時(shí)鐘域路徑分析報(bào)告分析從一個(gè)時(shí)鐘域(源時(shí)鐘)跨越到另一個(gè)時(shí)鐘域(目標(biāo)時(shí)鐘)的時(shí)序路徑。
    的頭像 發(fā)表于 11-27 11:11 ?5760次閱讀
    vivado約束案例:跨時(shí)鐘域<b class='flag-5'>路徑分析</b>報(bào)告

    FPGA時(shí)序約束之偽路徑和多周期路徑

    前面幾篇FPGA時(shí)序約束進(jìn)階篇,介紹了常用主時(shí)鐘約束、衍生時(shí)鐘約束、時(shí)鐘分組約束的設(shè)置,接下來(lái)介紹一下常用的另外兩個(gè)時(shí)序約束語(yǔ)法“偽
    發(fā)表于 06-12 17:33 ?1640次閱讀

    FPGA時(shí)序分析之關(guān)鍵路徑

    關(guān)鍵路徑通常是指同步邏輯電路中,組合邏輯時(shí)延最大的路徑(這里我認(rèn)為還需要加上布線的延遲),也就是說(shuō)關(guān)鍵路徑是對(duì)設(shè)計(jì)性能起決定性影響的時(shí)序路徑
    發(fā)表于 06-21 14:14 ?2066次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>之關(guān)鍵<b class='flag-5'>路徑</b>

    詳解時(shí)序路徑的相關(guān)概念

    reg2reg路徑約束的對(duì)象是源寄存器(時(shí)序路徑的起點(diǎn))和目的寄存器(時(shí)序路徑的終點(diǎn))都在FPGA
    的頭像 發(fā)表于 06-26 14:28 ?928次閱讀
    詳解<b class='flag-5'>時(shí)序</b><b class='flag-5'>路徑</b>的相關(guān)概念

    FPGA時(shí)序約束之時(shí)序路徑時(shí)序模型

    時(shí)序路徑作為時(shí)序約束和時(shí)序分析的物理連接關(guān)系,可分為片間路徑和片內(nèi)
    發(fā)表于 08-14 17:50 ?743次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時(shí)序</b>約束之<b class='flag-5'>時(shí)序</b><b class='flag-5'>路徑</b>和<b class='flag-5'>時(shí)序</b>模型

    驅(qū)動(dòng)器共模電流路徑與數(shù)學(xué)模型分析

    工程上常用關(guān)鍵路徑分析法進(jìn)行EMC問(wèn)題的分析與排查手段,簡(jiǎn)單高效,適合大部分場(chǎng)景,但缺乏細(xì)致的過(guò)程路徑分析,許多文獻(xiàn)也是從仿真和基本路徑的關(guān)
    發(fā)表于 09-08 14:54 ?742次閱讀
    驅(qū)動(dòng)器共模電流<b class='flag-5'>路徑</b>與數(shù)學(xué)模型<b class='flag-5'>分析</b>

    大西瓜FPGA--FPGA設(shè)計(jì)高級(jí)篇--時(shí)序分析技巧

    。掌握分析和確定關(guān)鍵路徑時(shí)序的方法,并通過(guò)分析找出關(guān)鍵路徑時(shí)序問(wèn)題,再對(duì)關(guān)鍵
    發(fā)表于 02-26 09:42

    ArcGIS的路徑分析

    求解路徑分析表示根據(jù)要求解的阻抗查找最快、最短甚至是最優(yōu)的路徑。如果阻抗是時(shí)間,則最佳路線即為最快路線。如果阻抗是具有實(shí)時(shí)或歷史流量的時(shí)間屬性,則最佳路徑是對(duì)指定日期和時(shí)間來(lái)說(shuō)最快的路徑
    發(fā)表于 06-03 08:04

    基于時(shí)序路徑FPGA時(shí)序分析技術(shù)研究

    基于時(shí)序路徑FPGA時(shí)序分析技術(shù)研究_周珊
    發(fā)表于 01-03 17:41 ?2次下載

    如何使用WOS進(jìn)行負(fù)面口碑發(fā)展之路徑分析

    通過(guò)引文分析和主路徑分析的方法,將Web of Science (WOS)數(shù)據(jù)庫(kù)中以負(fù)面口碑為主題的文獻(xiàn)作為研究對(duì)象,研究負(fù)面口碑的重要文獻(xiàn)與邏輯演化路徑。研究發(fā)現(xiàn):Richins (1983
    發(fā)表于 12-20 11:20 ?0次下載
    如何使用WOS進(jìn)行負(fù)面口碑發(fā)展之<b class='flag-5'>路徑分析</b>

    全面解讀時(shí)序路徑分析提速

    方法,能夠有效減少時(shí)序路徑問(wèn)題分析所需工作量。 時(shí)序路徑問(wèn)題分析定義為通過(guò)調(diào)查一條或多條具有負(fù)裕
    的頭像 發(fā)表于 05-19 11:25 ?2956次閱讀
    全面解讀<b class='flag-5'>時(shí)序</b><b class='flag-5'>路徑分析</b>提速

    時(shí)序路徑分析提速

    FPGA 設(shè)計(jì)進(jìn)程中,時(shí)序收斂無(wú)疑是一項(xiàng)艱巨的任務(wù)。低估這項(xiàng)任務(wù)的復(fù)雜性常常導(dǎo)致工作規(guī)劃面臨無(wú)休止的壓力。賽靈思提供了諸多工具,用于幫助縮短時(shí)序收斂所需時(shí)間,從而加速產(chǎn)品上市。本篇博文描述了一種方法,能夠有效減少
    發(fā)表于 08-02 09:25 ?548次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>路徑分析</b>提速