0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

CoWoS封裝產(chǎn)能限制AI芯片出貨量

半導(dǎo)體產(chǎn)業(yè)縱橫 ? 來源:半導(dǎo)體產(chǎn)業(yè)縱橫 ? 2024-01-19 11:14 ? 次閱讀

一季度產(chǎn)能將達(dá)17000片晶圓/月。

據(jù)業(yè)內(nèi)消息人士稱,盡管最近市場傳言英偉達(dá)已縮減2024年與臺(tái)積電代工廠的訂單,但臺(tái)積電仍在繼續(xù)擴(kuò)大其CoWoS封裝產(chǎn)能。

最近市場傳言表明,英偉達(dá)在中國大陸的收入已經(jīng)崩潰,其他市場無法填補(bǔ)中國大陸巨大的需求缺口。此外,接替H100的下一代GPU HGX H200將于第二季度上市,第三季度銷量將有所增加??蛻魧?duì)現(xiàn)有H100和新H200芯片的訂單正在調(diào)整,帶來不確定性。

據(jù)傳言,由于這些不確定性,英偉達(dá)首次削減了臺(tái)積電預(yù)期的4nm工藝和CoWoS產(chǎn)能訂單。

晶圓廠設(shè)備制造商稱,臺(tái)積電的可用CoWoS產(chǎn)能仍不足以滿足需求。消息人士稱,盡管臺(tái)積電努力加快設(shè)備改造,但到2023年底,CoWoS的月產(chǎn)能僅為15000片晶圓。

消息人士指出,臺(tái)積電正在修改InFO(集成扇出型)的部分設(shè)備,以支持CoWoS生產(chǎn),該設(shè)備仍處理大部分先進(jìn)封裝出貨。CoWoS封裝的月產(chǎn)能預(yù)計(jì)將在2024年第一季度達(dá)到17000片晶圓。

消息人士稱,臺(tái)積電還為CoWoS生產(chǎn)分配更多晶圓廠產(chǎn)能,這將導(dǎo)致2024年CoWoS封裝的月產(chǎn)能逐季增加,最終達(dá)到26000-28000片晶圓。

CoWoS封裝產(chǎn)能限制AI芯片出貨量

英偉達(dá)AI GPU的短缺是由于臺(tái)積電CoWoS封裝的產(chǎn)能不足。

臺(tái)積電應(yīng)眾多客戶要求,于2023年第二季度開始緊急配置產(chǎn)能,新CoWoS設(shè)備的交付時(shí)間超過6個(gè)月,部分設(shè)備從接到訂單到生產(chǎn)安裝需要長達(dá)10個(gè)月的時(shí)間。盡管如此,廣達(dá)電腦、緯創(chuàng)資通、超微(Supermicro)、技嘉、華碩等公司聲稱有訂單但無法履行,這表明CoWoS供應(yīng)缺口仍然存在。

據(jù)業(yè)內(nèi)人士透露,臺(tái)積電大約一半的CoWoS封裝可用產(chǎn)能仍專門用于滿足英偉達(dá)AI GPU的需求,這表明英偉達(dá)對(duì)即將于今年晚些時(shí)候發(fā)布的H200和B100 GPU充滿信心。3nm B100系列預(yù)計(jì)2024年底出貨。

英偉達(dá)計(jì)劃在2024年第二季度發(fā)布規(guī)格較低的定制AI芯片,而高端H100 GPU仍然在全球范圍內(nèi)需求旺盛且缺貨。

臺(tái)積電已承諾在2024年大幅增加CoWoS封裝產(chǎn)能。消息人士稱,除了英偉達(dá)之外,隨著微軟和其他客戶采用MI300 AI GPU系列,AMD也增加了對(duì)臺(tái)積電CoWoS封裝的需求。另外,博通也是預(yù)付CoWoS產(chǎn)能費(fèi)用的客戶。

臺(tái)積電在舉辦的 IEEE 國際電子器件會(huì)議(IEDM)的小組研討會(huì)上透露,其 1.4nm 級(jí)工藝制程研發(fā)已經(jīng)全面展開。根據(jù) SemiAnalysis 的 Dylan Patel 給出的幻燈片,臺(tái)積電的 1.4nm 制程節(jié)點(diǎn)正式名稱為 A14。

目前臺(tái)積電尚未透露 A14 的量產(chǎn)時(shí)間和具體參數(shù),但考慮到 N2 節(jié)點(diǎn)計(jì)劃于 2025 年底量產(chǎn),N2P 節(jié)點(diǎn)則定于 2026 年底量產(chǎn),因此 A14 節(jié)點(diǎn)預(yù)計(jì)將在 2027-2028 年問世。

在技術(shù)方面,A14 節(jié)點(diǎn)不太可能采用垂直堆疊互補(bǔ)場效應(yīng)晶體管(CFET)技術(shù),不過臺(tái)積電仍在探索這項(xiàng)技術(shù)。因此,A14 可能將像 N2 節(jié)點(diǎn)一樣,依賴于臺(tái)積電第二代或第三代環(huán)繞柵極場效應(yīng)晶體管(GAAFET)技術(shù)。

半導(dǎo)體業(yè)內(nèi)人士認(rèn)為,臺(tái)積電目前已經(jīng)感受到三星英特爾的壓力,而且創(chuàng)始人張忠謀已經(jīng)將主要擔(dān)憂從三星轉(zhuǎn)移到英特爾方面。

英特爾近日發(fā)布報(bào)告,在 PowerVia 背面供電技術(shù)、玻璃基板和用于先進(jìn)封裝的 Foveros Direct 方面均取得較大成功。

根據(jù) TrendForce 集邦咨詢 3Q23 全球晶圓代工營收 TOP10 排名,英特爾晶圓代工業(yè)務(wù)首次進(jìn)入全球 TOP10,以業(yè)界最快的季度增長位列第九。

2011年,臺(tái)積電技術(shù)專家余振華帶來了第一個(gè)產(chǎn)品——CoWoS。

CoWoS(Chip On Wafer On Substrate)是一種2.5D的整合生產(chǎn)技術(shù),由CoW和oS組合而來:先將芯片通過Chip on Wafer(CoW)的封裝制程連接至硅晶圓,再把CoW芯片與基板(Substrate)連接,整合成CoWoS。據(jù)悉,這是蔣尚義在2006年提出的構(gòu)想。

CoWoS的核心是將不同的芯片堆疊在同一片硅中介層實(shí)現(xiàn)多顆芯片互聯(lián)。在硅中介層中,臺(tái)積電使用微凸塊(μBmps)、硅穿孔(TSV)等技術(shù),代替?zhèn)鹘y(tǒng)引線鍵合用于裸片間連接,大大提高了互聯(lián)密度以及數(shù)據(jù)傳輸帶寬。

CoWoS技術(shù)實(shí)現(xiàn)了提高系統(tǒng)性能、降低功耗、縮小封裝尺寸的目標(biāo),從而也使臺(tái)積電在后續(xù)的封裝技術(shù)保持領(lǐng)先。

這也是目前火熱的HBM內(nèi)存、Chiplet等主要的封裝技術(shù)。

據(jù)悉,繼英偉達(dá)10月確定擴(kuò)大下單后,蘋果、AMD、博通、Marvell等重量級(jí)客戶近期也對(duì)臺(tái)積電追加CoWoS訂單。臺(tái)積電為應(yīng)對(duì)上述五大客戶需求,加快CoWoS先進(jìn)封裝產(chǎn)能擴(kuò)充腳步,明年月產(chǎn)能將比原訂倍增目標(biāo)再增加約20%,達(dá)3.5萬片——換言之,臺(tái)積電明年CoWoS月產(chǎn)能將同比增長120%。

同時(shí),臺(tái)積電根據(jù)不同的互連方式,把“CoWoS”封裝技術(shù)分為三種類型:

CoWoS-S:它使用Si中介層,該類型是2011年開發(fā)的第一個(gè)“CoWoS”技術(shù),為高性能SoC和HBM提供先進(jìn)的封裝技術(shù);

CoWoS-R:它使用重新布線層(RDL)進(jìn)行布線,更強(qiáng)調(diào)Chiplet間的互連。能夠降低成本,不過劣勢是犧牲了I/O密度;

CoWoS-L:它使用小芯片(Chiplet)和LSI(本地硅互連)進(jìn)行互連,結(jié)合了CoWoS-S和InFO技術(shù)的優(yōu)點(diǎn),具有靈活集成性。

多年來,CoWoS一直在追求不斷增加硅中介層尺寸,以支持封裝中的處理器和HBM堆棧。臺(tái)積電通過長期的技術(shù)積累和大量成功案例,目前CoWoS封裝技術(shù)已迭代到了第5代。

審核編輯:黃飛

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 臺(tái)積電
    +關(guān)注

    關(guān)注

    43

    文章

    5570

    瀏覽量

    165867
  • gpu
    gpu
    +關(guān)注

    關(guān)注

    27

    文章

    4631

    瀏覽量

    128440
  • 英偉達(dá)
    +關(guān)注

    關(guān)注

    22

    文章

    3680

    瀏覽量

    90475
  • CoWoS
    +關(guān)注

    關(guān)注

    0

    文章

    127

    瀏覽量

    10417
  • AI芯片
    +關(guān)注

    關(guān)注

    17

    文章

    1842

    瀏覽量

    34789

原文標(biāo)題:臺(tái)積電持續(xù)擴(kuò)大CoWoS封裝產(chǎn)能

文章出處:【微信號(hào):ICViews,微信公眾號(hào):半導(dǎo)體產(chǎn)業(yè)縱橫】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Gartner預(yù)測:2025年全球AI PC出貨量將占比PC總出貨量43%

    10月15日,Gartner公司發(fā)布最新預(yù)測,指出到2025年,全球人工智能個(gè)人電腦(AI PC)的出貨量預(yù)計(jì)將達(dá)到1.14億臺(tái),相較于2024年,將實(shí)現(xiàn)165.5%的顯著增長
    的頭像 發(fā)表于 10-15 15:23 ?395次閱讀

    臺(tái)積電CoWoS產(chǎn)能將提升4倍

    在近日于臺(tái)灣舉行的SEMICON Taiwan 2024國際半導(dǎo)體展會(huì)上,臺(tái)積電展示了其在先進(jìn)封裝技術(shù)領(lǐng)域的雄心壯志。據(jù)臺(tái)積電營運(yùn)/先進(jìn)封裝技術(shù)暨服務(wù)副總何軍透露,面對(duì)市場對(duì)高性能AI芯片
    的頭像 發(fā)表于 09-06 17:20 ?597次閱讀

    臺(tái)積電CoWoS封裝技術(shù)引領(lǐng)AI芯片產(chǎn)能大躍進(jìn)

    據(jù)DIGITIMES研究中心最新發(fā)布的《AI芯片特別報(bào)告》顯示,在AI芯片需求激增的推動(dòng)下,先進(jìn)封裝技術(shù)的成長勢頭已超越先進(jìn)制程,成為半導(dǎo)體
    的頭像 發(fā)表于 08-21 16:31 ?597次閱讀

    什么是CoWoS封裝技術(shù)?

    CoWoS(Chip-on-Wafer-on-Substrate)是一種先進(jìn)的半導(dǎo)體封裝技術(shù),它結(jié)合了芯片堆疊與基板連接的優(yōu)勢,實(shí)現(xiàn)了高度集成、高性能和低功耗的封裝解決方案。以下是對(duì)
    的頭像 發(fā)表于 08-08 11:40 ?1369次閱讀

    臺(tái)積電加速先進(jìn)封裝產(chǎn)能建設(shè)應(yīng)對(duì)AI芯片需求

    隨著英偉達(dá)、AMD等大廠AI芯片熱銷,先進(jìn)封裝產(chǎn)能成為市場緊俏資源。據(jù)悉,臺(tái)積電南科嘉義園區(qū)的CoWoS新廠已進(jìn)入環(huán)差審查階段,并開始采購設(shè)
    的頭像 發(fā)表于 06-13 09:38 ?478次閱讀

    什么是 CoWoS 封裝技術(shù)?

    共讀好書 芯片封裝由 2D 向 3D 發(fā)展的過程中,衍生出多種不同的封裝技術(shù)。其中,2.5D 封裝是一種先進(jìn)的異構(gòu)芯片
    的頭像 發(fā)表于 06-05 08:44 ?272次閱讀

    英偉達(dá)引入新封裝技術(shù)應(yīng)對(duì)AI芯片需求

    隨著人工智能(AI)技術(shù)的飛速發(fā)展,市場對(duì)高性能AI芯片的需求日益旺盛。英偉達(dá)作為全球知名的GPU制造商,其數(shù)據(jù)中心GPU銷售持續(xù)火爆,導(dǎo)致臺(tái)積電(TSMC)的CoWoS
    的頭像 發(fā)表于 05-29 11:07 ?567次閱讀

    AI芯片需求猛增,CoWoS封裝供不應(yīng)求,HBM技術(shù)難度升級(jí)

    行業(yè)觀察者預(yù)測,英偉達(dá)即將推出的B系列產(chǎn)品,如GB200, B100, B200等,將對(duì)CoWoS封裝產(chǎn)能產(chǎn)生巨大壓力。據(jù)IT之家早前報(bào)道,臺(tái)積電已計(jì)劃在2024年提高CoWoS
    的頭像 發(fā)表于 05-20 14:39 ?488次閱讀

    CoWoS先進(jìn)封裝產(chǎn)能吃緊,英偉達(dá)GPU供應(yīng)依舊受限

    英偉達(dá)占據(jù)全球AI GPU市場約80%的份額,根據(jù)集邦咨詢預(yù)測,到2024年,臺(tái)積電CoWoS產(chǎn)能有望增至4萬片,并在明年底實(shí)現(xiàn)翻番。然而,隨著英偉達(dá)B100和B200芯片的問世,單
    的頭像 發(fā)表于 05-20 11:58 ?402次閱讀

    全球大尺寸液晶電視面板出貨量升幅大,產(chǎn)能消耗顯著

    值得注意的是,大尺寸面板在產(chǎn)能消耗、營收和利潤等多個(gè)方面都表現(xiàn)出色。今年第一季度,75英寸及以上的超大尺寸液晶電視面板出貨量同比增長了32.6%。特別是98英寸和100英寸這兩個(gè)尺寸的面板出貨量,與去年同期相比激增了350.0%
    的頭像 發(fā)表于 04-30 15:24 ?418次閱讀

    華為Pura 70預(yù)計(jì)出貨量超越千萬,挑戰(zhàn)蘋果iPhone

    據(jù)科技研究公司TechInsights報(bào)告,Mate 60 Pro系列自去年8月至今在華五個(gè)月內(nèi)出貨量達(dá)到了620萬臺(tái)。鑒于Pura 70系列更早的發(fā)布時(shí)間和供應(yīng)限制的緩解,預(yù)計(jì)2024年出貨量將超1000萬臺(tái),成為iPhone
    的頭像 發(fā)表于 04-19 14:34 ?702次閱讀

    AI PC產(chǎn)品密集發(fā)布,預(yù)計(jì)2025年AI PC占全球PC出貨量40%

    電子發(fā)燒友網(wǎng)報(bào)道(文/李彎彎)根據(jù)市場機(jī)構(gòu)Canalys近日發(fā)布的最新報(bào)告,2024年標(biāo)志著傳統(tǒng)PC向AI PC的重大轉(zhuǎn)變,預(yù)估今年全球AI PC出貨量4800萬臺(tái),占PC出貨總量的1
    的頭像 發(fā)表于 03-20 01:15 ?3758次閱讀
    <b class='flag-5'>AI</b> PC產(chǎn)品密集發(fā)布,預(yù)計(jì)2025年<b class='flag-5'>AI</b> PC占全球PC<b class='flag-5'>出貨量</b>40%

    杰發(fā)科技汽車芯片出貨量突破3億顆,MCU超5000萬顆

    截止2023年12月底,公司車規(guī)級(jí)芯片在全球出貨量突破3億顆,其中MCU出貨量突破5000萬顆,SoC芯片出貨量超8000萬套。
    的頭像 發(fā)表于 01-23 10:25 ?792次閱讀

    四維圖新旗下杰發(fā)科技汽車芯片全球出貨量突破3億顆 MCU出貨量突破5000萬顆

    今天,四維圖新旗下杰發(fā)科技正式對(duì)外宣布,截止2023年12月底,公司車規(guī)級(jí)芯片在全球出貨量突破3億顆,其中MCU出貨量突破5000萬顆,SoC芯片
    的頭像 發(fā)表于 01-23 09:08 ?1086次閱讀

    AMD尋求CoWoS產(chǎn)能,以拓展AI芯片市場

     據(jù)了解,臺(tái)積電公司(TSMC)的CoWoS產(chǎn)能已經(jīng)飽和,且未來擴(kuò)產(chǎn)計(jì)劃主要服務(wù)于英偉達(dá),為滿足AMD需求新建生產(chǎn)線需耗時(shí)6—9個(gè)月。據(jù)此推測,AMD可能會(huì)尋找具有類似CoWoS 封裝
    的頭像 發(fā)表于 01-03 14:07 ?524次閱讀