0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

怎樣查看input/output delay是否生效

XL FPGA技術(shù)交流 ? 來源:易靈思FPGA技術(shù)交流 ? 作者:易靈思FPGA技術(shù)交流 ? 2024-02-27 08:38 ? 次閱讀

通過get_port命令查看接口。

get_ports *

7e167974-d508-11ee-b759-92fbcf53809c.png

以LVDS的輸入輸出為例

怎樣去查看outputdelay

set_output_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~218~131}] -min -0.140 [get_ports {tmds_data0_o[*]}]
在tcl command Console中輸入以下語句,可以查看到它的約束情況。

report_timing -through [get_pins {tmds_data1_o[*]~FF|Q}] -hold

Tmds_data1_o是一個(gè)LVDS的輸出接口,hold使用的是min 值,setup使用的是max值 。如果有多條路徑可以使用-npaths.

7e39ee18-d508-11ee-b759-92fbcf53809c.png

可以看到在約束中已經(jīng)把Output Delay在Caputure Path中減去。當(dāng)然我認(rèn)為加在Launch Path Delay中也是可以的。

怎樣去查看input delay是否生效

set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~283}] -max 0.512 [get_ports {hdmi_rx_d0_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~283}] -min 0.342 [get_ports {hdmi_rx_d0_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~208}] -max 0.512 [get_ports {hdmi_rx_d1_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~208}] -min 0.342 [get_ports {hdmi_rx_d1_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~225}] -max 0.512 [get_ports {hdmi_rx_d2_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~225}] -min 0.342 [get_ports {hdmi_rx_d2_RX_DATA[*]}]

在tcl command Console中輸入以下語句,可以查看到它的約束情況。 report_timing -from [get_ports {hdmi_rx_d0_RX_DATA[*]}] -hold hdmi_rx_d0_RX_DATA[*]是一個(gè)lvds的輸入接口,hold使用的是min 值,setup使用的是max值 。如果有多條路徑可以使用-npaths.

7e436e52-d508-11ee-b759-92fbcf53809c.png

可以看到在約束中已經(jīng)把Input Delay在Launch Path中加上。

對(duì)于單端

當(dāng)HPD_N是同步IO時(shí)。

這里使用的時(shí)鐘是osc_clk

7e4e5ab0-d508-11ee-b759-92fbcf53809c.png

HPD_N是一個(gè)單端非同步輸出信號(hào)

create_clock -period 25 [get_ports {osc_clk}]

create_clock -period 25 -name vir_osc_clk

set_output_delay -clock vir_osc_clk -max 1.2 [get_ports {HPD_N}] set_output_delay -clock vir_osc_clk -min 1.0 [get_ports {HPD_N}] 在tcl command Console中輸入以下語句,可以查看到它的約束情況。

report_timing -through [get_nets {HPD_N}]
7e6ea644-d508-11ee-b759-92fbcf53809c.png 從時(shí)序報(bào)告中我們可以看到launch clock path delay是2.071,它的值是GBUF的延時(shí),而capture clock path delay是0,說明參考點(diǎn)是在GBUF之前 。 HDMI_5V_N是單端輸入信號(hào) 添加約束

set_input_delay -clock vir_osc_clk  -max 2.0 [get_ports {HDMI_5V_N}]set_input_delay -clock vir_osc_clk  -min 1.8 [get_ports {HDMI_5V_N}]
通過以下指令來打印信息

report_timing -from [get_ports {HDMI_5V_N}]

使用npaths可以打印出多條路徑。

程序上實(shí)現(xiàn)如下:

assign hdmi_rx_hpd_n = ~hdmi_rx_5v_n ? 1'b0 : rx_hpd;

7e7f305e-d508-11ee-b759-92fbcf53809c.png

第二條

7e8e28b6-d508-11ee-b759-92fbcf53809c.png

三態(tài)信號(hào)

report_timing -from [get_ports {FPGA_HDMI_SCL_IN}]

7e9881d0-d508-11ee-b759-92fbcf53809c.png

report_timing -to [get_nets {FPGA_HDMI_SDA_OE}]

7ea29dc8-d508-11ee-b759-92fbcf53809c.png

審核編輯 黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 接口
    +關(guān)注

    關(guān)注

    33

    文章

    8355

    瀏覽量

    150517
  • Output
    +關(guān)注

    關(guān)注

    0

    文章

    31

    瀏覽量

    10474
  • Delay
    +關(guān)注

    關(guān)注

    0

    文章

    10

    瀏覽量

    10864
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    什么是output_delay?

    顧名思義,output_delay就是指輸出端口的數(shù)據(jù)相對(duì)于參數(shù)時(shí)鐘邊沿的延時(shí)。
    的頭像 發(fā)表于 09-26 10:07 ?3497次閱讀

    對(duì)Input DelayOutput Delay的反思 - 1

    電路分析
    皮特派
    發(fā)布于 :2022年12月07日 11:45:03

    對(duì)Input DelayOutput Delay的反思 - 2

    電路分析
    皮特派
    發(fā)布于 :2022年12月07日 11:45:50

    FPGA靜態(tài)時(shí)序分析——IO口時(shí)序(Input Delay /output Delay

    FPGA靜態(tài)時(shí)序分析——IO口時(shí)序(Input Delay /output Delay)1.1概述  在高速系統(tǒng)中FPGA時(shí)序約束不止包括內(nèi)部時(shí)鐘約束,還應(yīng)包括完整的IO時(shí)序約束和時(shí)序
    發(fā)表于 04-25 15:42

    IC中inout port需要同時(shí)設(shè)置input_delayoutput_delay嗎?

    請(qǐng)教:IC中inout port 需要同時(shí)設(shè)置input_delayoutput_delay嗎?
    發(fā)表于 06-25 06:37

    Input and Output Capacitor Sel

    ABSTRACTWhen designing with switching regulators, application requirements determine howmuch input
    發(fā)表于 11-16 17:05 ?36次下載

    AD9514: 1.6 GHz Clock Distribution IC, Dividers, Delay Adjust, Three Output Data Sheet

    AD9514: 1.6 GHz Clock Distribution IC, Dividers, Delay Adjust, Three Output Data Sheet
    發(fā)表于 01-28 09:58 ?8次下載
    AD9514: 1.6 GHz Clock Distribution IC, Dividers, <b class='flag-5'>Delay</b> Adjust, Three <b class='flag-5'>Output</b> Data Sheet

    Vivado中如何做set_input_delay約束

    在STA中,要分析上游器件和FPGA之間的時(shí)序關(guān)系就得指定input delay。
    的頭像 發(fā)表于 02-19 19:32 ?3089次閱讀
    Vivado中如何做set_<b class='flag-5'>input_delay</b>約束

    Vivado中如何做set_input_delay約束

    在STA中,要分析上游器件和FPGA之間的時(shí)序關(guān)系就得指定input delay。
    的頭像 發(fā)表于 02-16 16:21 ?3309次閱讀
    Vivado中如何做set_<b class='flag-5'>input_delay</b>約束

    詳解FPGA的時(shí)序input delay約束

    本文章探討一下FPGA的時(shí)序input delay約束,本文章內(nèi)容,來源于配置的明德?lián)P時(shí)序約束專題課視頻。
    發(fā)表于 05-11 10:07 ?4058次閱讀
    詳解FPGA的時(shí)序<b class='flag-5'>input</b> <b class='flag-5'>delay</b>約束

    FPGA的時(shí)序input delay約束

    本文章探討一下FPGA的時(shí)序input delay約束,本文章內(nèi)容,來源于明德?lián)P時(shí)序約束專題課視頻。
    的頭像 發(fā)表于 07-25 15:37 ?2897次閱讀
    FPGA的時(shí)序<b class='flag-5'>input</b> <b class='flag-5'>delay</b>約束

    Virtual Input/Output IP核的幾個(gè)重要參數(shù)

    大家好!今日給大家介紹下Virtual Input/Output IP 核的幾個(gè)重要參數(shù)。
    的頭像 發(fā)表于 06-01 09:18 ?1345次閱讀
    Virtual <b class='flag-5'>Input</b>/<b class='flag-5'>Output</b> IP核的幾個(gè)重要參數(shù)

    set_output_delay的本質(zhì)是什么?淺談set_ouput_delay時(shí)序

    set_output_delay是對(duì)模塊output信號(hào)在模塊外部延遲的約束,本質(zhì)上EDA工具會(huì)根據(jù)約束調(diào)整內(nèi)部器件(UFF0)的類型,擺放位置以及組合邏輯(C1)以滿足約束要求,即EDA工具保證模塊DUA的UFF0的Tclk2q+Tc1延時(shí)能夠滿足約束要求。
    的頭像 發(fā)表于 08-12 09:48 ?1656次閱讀
    set_<b class='flag-5'>output_delay</b>的本質(zhì)是什么?淺談set_ouput_<b class='flag-5'>delay</b>時(shí)序

    MAX14906: Quad-Channel Industrial Digital Output, Digital Input Data Sheet MAX14906: Quad-Channel Industrial Digital Output, Digital Input D

    電子發(fā)燒友網(wǎng)為你提供ADI(ADI)MAX14906: Quad-Channel Industrial Digital Output, Digital Input Data Sheet相關(guān)產(chǎn)品參數(shù)
    發(fā)表于 10-13 18:44
    MAX14906: Quad-Channel Industrial Digital <b class='flag-5'>Output</b>, Digital <b class='flag-5'>Input</b> Data Sheet MAX14906: Quad-Channel Industrial Digital <b class='flag-5'>Output</b>, Digital <b class='flag-5'>Input</b> D

    verilog中inputoutput作用

    在Verilog中,inputoutput用于定義模塊的輸入和輸出端口。它們是用于通信的關(guān)鍵元素,定義了模塊與其它模塊之間的數(shù)據(jù)傳輸接口。通過inputoutput端口,模塊之間可
    的頭像 發(fā)表于 02-23 10:29 ?2551次閱讀