0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

uvm1.1升級為uvm1.2 uvm_report_server報錯是何原因?

全棧芯片工程師 ? 來源:全棧芯片工程師 ? 2024-03-04 14:18 ? 次閱讀

ISP算法仿真中,小編會用reference model調(diào)用DPI接口C++ 算法實現(xiàn)pixel算法處理,然后和DUT算法處理輸出的pixel值進行比較,比較時候發(fā)現(xiàn)報錯,報錯代碼如下,原因是小編把uvm1.1升級為uvm1.2了。

  function void report_phase(uvm_phase phase);
       uvm_report_server svr;
svr=_global_reporter.get_report_server();

a174c030-d95c-11ee-a297-92fbcf53809c.png

a181ea44-d95c-11ee-a297-92fbcf53809c.png

修改辦法很簡單,重新仿真即可通過。

a19994fa-d95c-11ee-a297-92fbcf53809c.png


審核編輯:劉清
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • ISP
    ISP
    +關(guān)注

    關(guān)注

    6

    文章

    473

    瀏覽量

    51631
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19110
  • DUT
    DUT
    +關(guān)注

    關(guān)注

    0

    文章

    188

    瀏覽量

    12271

原文標(biāo)題:uvm1.1升級為uvm1.2 uvm_report_server報錯?

文章出處:【微信號:全棧芯片工程師,微信公眾號:全棧芯片工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    vcs和xrun搭配uvm1.1/uvm1.2版本庫的使用情況

    我們在項目中有時候需要使用不同的UVM版本庫進行仿真,有時候還會在不同的仿真器之間進行切換,本文簡單總結(jié)了一下vcs和xrun搭配uvm1.1/uvm1.2版本庫的使用情況,希望能幫助到一些朋友。
    的頭像 發(fā)表于 10-27 09:41 ?3523次閱讀

    什么是UVM Report機制?

    UVM Report機制概述
    發(fā)表于 12-21 06:55

    數(shù)字IC驗證之“什么是UVM”“UVM的特點”“UVM提供哪些資源”(2)連載中...

    為構(gòu)建平臺的結(jié)構(gòu)上花去過多的時間,可以把精力集中放在事物建模以及結(jié)果的分析上。另外,由于不同項目的平臺的結(jié)構(gòu)和使用方法基本相同,這就為多個驗證工程師之間的溝通交流提供了方便,uvm驗證工程師提供了
    發(fā)表于 01-21 16:00

    什么是uvm?uvm的特點有哪些呢

    大家好,我是一哥,上章內(nèi)容我們介紹什么是uvm?uvm的特點以及uvm用戶提供了哪些資源?本章內(nèi)容我們來看一看一個典型的uvm驗證平臺應(yīng)該
    發(fā)表于 02-14 06:46

    談?wù)?b class='flag-5'>UVM中的uvm_info打印

    。uvm_report_info(xxx)函數(shù)調(diào)用當(dāng)前m_rh的report(xxx)函數(shù)來打印message。但在m_rh.report(xxx)內(nèi)部其實是調(diào)用uvm_report_serv
    發(fā)表于 03-17 16:41

    UVM中seq.start()和default_sequence執(zhí)行順序

    :seq2會先啟動。也就是說進入run_phase之后,會先執(zhí)行seq2的body()內(nèi)容,等退出seq2之后,再執(zhí)行seq1的body()內(nèi)容,串行執(zhí)行的?! ?. 原理  可以打開UVM-1.1d源碼
    發(fā)表于 04-04 17:15

    我的第一個UVM代碼——Hello world

    `uvm_component_utils有關(guān) 仿真命令: irun -sv -uvmhome \\\\ /.../ies/tools/methodology/UVM/CDNS-1.2/sv \\\\ hello_world.sv
    發(fā)表于 11-03 10:18

    UVM1.1的應(yīng)用指南及源代碼分析資料概述

    本章第一節(jié)將大體介紹一下感性意義上的UVM,這里不會講的很詳細,因為關(guān)于UVM的歷史在網(wǎng)上已經(jīng)有太多的資料第二節(jié)講述驗證平臺的組成,這里也只是介紹一個輪廓。第三節(jié)開始則會教我們一步一步搭建一個UVM
    發(fā)表于 07-01 08:00 ?70次下載
    <b class='flag-5'>UVM1.1</b>的應(yīng)用指南及源代碼分析資料概述

    UVM實戰(zhàn)教材資料分享

    UVM實戰(zhàn)教材資料分享。
    發(fā)表于 05-05 15:51 ?17次下載

    什么是UVM environment?

    UVM environment**包含多個可重用的驗證組件,并根據(jù)test case的需求進行相應(yīng)的配置。例如,UVM environment可能具有多個agent(對應(yīng)不同的interface)、scoreboard、functional coverage collec
    的頭像 發(fā)表于 03-21 11:35 ?1015次閱讀
    什么是<b class='flag-5'>UVM</b> environment?

    UVM學(xué)習(xí)筆記(一)

    driver應(yīng)該派生自uvm_driver,而uvm_driver派生自uvm_component。
    的頭像 發(fā)表于 05-26 14:38 ?1264次閱讀
    <b class='flag-5'>UVM</b>學(xué)習(xí)筆記(一)

    UVM driver和sequencer的通信

    sequencer生成激勵數(shù)據(jù),并將其傳遞給driver執(zhí)行。UVM類庫提供了uvm_sequencer基類,其參數(shù)request和response數(shù)據(jù)類型。
    的頭像 發(fā)表于 06-07 11:58 ?1515次閱讀
    <b class='flag-5'>UVM</b> driver和sequencer的通信

    UVMuvm_config_db機制背后的大功臣

    本次講一下UVM中的uvm_config_db,在UVM中提供了一個內(nèi)部數(shù)據(jù)庫,可以在其中存儲給定名稱下的值,之后可以由其它TB組件去檢索。
    的頭像 發(fā)表于 06-20 17:28 ?1254次閱讀

    UVMuvm_config_db機制背后的大功臣

    本次講一下UVM中的uvm_config_db,在UVM中提供了一個內(nèi)部數(shù)據(jù)庫,可以在其中存儲給定名稱下的值,之后可以由其它TB組件去檢索。
    的頭像 發(fā)表于 06-29 16:57 ?1105次閱讀

    一文詳解UVM設(shè)計模式

    本篇是對UVM設(shè)計模式 ( 二 ) 參數(shù)化類、靜態(tài)變量/方法/類、單例模式、UVM_ROOT、工廠模式、UVM_FACTORY[1]中單例模式的補充,分析靜態(tài)類的使用,UVM中資源池的
    的頭像 發(fā)表于 08-06 10:38 ?1469次閱讀
    一文詳解<b class='flag-5'>UVM</b>設(shè)計模式