0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

AMD Versal AI Edge自適應(yīng)計(jì)算加速平臺之PL通過NoC讀寫DDR4實(shí)驗(yàn)(4)

ALINX ? 來源:ALINX ? 2024-03-22 17:18 ? 次閱讀

PL通過NoC讀寫DDR4實(shí)驗(yàn)

實(shí)驗(yàn)VIvado工程為“pl_rw_ddr”

1.1硬件介紹

開發(fā)板的PL端有4顆16bit ddr4

d16c56e4-e82b-11ee-a297-92fbcf53809c.png

1.2Vivado工程建立

Versal的DDR4是通過NoC訪問,因此需要添加NoC IP進(jìn)行配置。

1.2.1創(chuàng)建一個Block design并配置NoC

1)選擇Create Block Design

d180ce58-e82b-11ee-a297-92fbcf53809c.png

d18e7814-e82b-11ee-a297-92fbcf53809c.png

2)添加CIPS

d19df668-e82b-11ee-a297-92fbcf53809c.png ? ?

d1b068ac-e82b-11ee-a297-92fbcf53809c.png

3)雙擊CIPS,選擇PL_Subsystem,只有PL端的邏輯

d1bb198c-e82b-11ee-a297-92fbcf53809c.png

4)添加NoC IP

d1c430da-e82b-11ee-a297-92fbcf53809c.png

5)配置NoC

選擇一個AXI Slave和AXI Clock,選擇”Single Memory Controller”

d1d451ea-e82b-11ee-a297-92fbcf53809c.png

選擇Inputs為PL

d1ee72f0-e82b-11ee-a297-92fbcf53809c.png

連接port

d1fc2da0-e82b-11ee-a297-92fbcf53809c.png

DDR4配置

d21036f6-e82b-11ee-a297-92fbcf53809c.png

d2292594-e82b-11ee-a297-92fbcf53809c.png

配置完成,點(diǎn)擊OK

6)配置CIPS,添加復(fù)位

d23a3ba4-e82b-11ee-a297-92fbcf53809c.png

d24b680c-e82b-11ee-a297-92fbcf53809c.png

d2619046-e82b-11ee-a297-92fbcf53809c.png ? ?

d278f3bc-e82b-11ee-a297-92fbcf53809c.png

點(diǎn)擊Finish

7)添加Clocking Wizard,配置輸出時鐘150MHz,作為PL端讀寫時鐘

d2846bb6-e82b-11ee-a297-92fbcf53809c.png

d28d5262-e82b-11ee-a297-92fbcf53809c.png

8)添加IBUFDS為NoC和Clocking Wizard提供參考時鐘,并導(dǎo)出S00_AXI,CH0_DDR4_0等總線,添加axi_clk,axi_resetn為PL端提供時鐘和復(fù)位。

d29d7f52-e82b-11ee-a297-92fbcf53809c.png

雙擊參考時鐘引腳,并配置頻率為200MHz

d2b7cdc6-e82b-11ee-a297-92fbcf53809c.png

雙擊AXI總線,并配置

d2ca6f58-e82b-11ee-a297-92fbcf53809c.png

d2e57578-e82b-11ee-a297-92fbcf53809c.png

9)分配地址

d2f228ea-e82b-11ee-a297-92fbcf53809c.png

d3045ca4-e82b-11ee-a297-92fbcf53809c.png

10)Create HDL

d319bb44-e82b-11ee-a297-92fbcf53809c.png

1.2.2添加其他測試代碼

其他代碼主要功能是讀寫ddr4并比較數(shù)據(jù)是否一致,這里不做詳細(xì)介紹,可參考工程代碼。

d327425a-e82b-11ee-a297-92fbcf53809c.png? ??

1)在mem_test.v中添加mark_debug調(diào)試

d336d3e6-e82b-11ee-a297-92fbcf53809c.png

2)引腳綁定

d350c88c-e82b-11ee-a297-92fbcf53809c.png

3)綜合

d36132d0-e82b-11ee-a297-92fbcf53809c.png

4)綜合完成后點(diǎn)擊Set up debug

d36ee39e-e82b-11ee-a297-92fbcf53809c.png

d383c46c-e82b-11ee-a297-92fbcf53809c.png

d393ff8a-e82b-11ee-a297-92fbcf53809c.png

根據(jù)需求設(shè)置采樣點(diǎn)數(shù)

d3a9d486-e82b-11ee-a297-92fbcf53809c.png

d3b4f5be-e82b-11ee-a297-92fbcf53809c.png

之后保存,并生成pdi文件

d3c634b4-e82b-11ee-a297-92fbcf53809c.png

1.3下載調(diào)試

生成pdi文件以后,使用JTAG下載到開發(fā)板,在MIG_1窗口會顯示DDR4校準(zhǔn)等信息

d3d6068c-e82b-11ee-a297-92fbcf53809c.png

在hw_ila_1中可以查看調(diào)試信號

d3e7a2a2-e82b-11ee-a297-92fbcf53809c.png

1.4實(shí)驗(yàn)總結(jié)

本實(shí)驗(yàn)通過PL端Verilog代碼直接讀寫ddr4,主要了解NoC的配置方法,如何通過NoC訪問DDR4,后續(xù)的實(shí)驗(yàn)中都要用到此配置。




審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • JTAG
    +關(guān)注

    關(guān)注

    6

    文章

    394

    瀏覽量

    71515
  • DDR4
    +關(guān)注

    關(guān)注

    12

    文章

    317

    瀏覽量

    40620
  • AXI總線
    +關(guān)注

    關(guān)注

    0

    文章

    66

    瀏覽量

    14228
  • NoC
    NoC
    +關(guān)注

    關(guān)注

    0

    文章

    38

    瀏覽量

    11709
  • CLK
    CLK
    +關(guān)注

    關(guān)注

    0

    文章

    126

    瀏覽量

    17089

原文標(biāo)題:【ALINX 技術(shù)分享】AMD Versal AI Edge 自適應(yīng)計(jì)算加速平臺之PL通過NoC讀寫DDR4 實(shí)驗(yàn) (4)

文章出處:【微信號:ALINX,微信公眾號:ALINX】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    AMD Versal AI Edge自適應(yīng)計(jì)算加速平臺Versal介紹(2)

    Versal 包含了 Cortex-A72 處理器和 Cortex-R5 處理器,PL 端可編程邏輯部分,PMC 平臺管理控制器,AI Engine 等模塊,與以往的 ZYNQ 700
    的頭像 發(fā)表于 03-06 18:12 ?1321次閱讀
    <b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b><b class='flag-5'>自適應(yīng)計(jì)算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b><b class='flag-5'>之</b><b class='flag-5'>Versal</b>介紹(2)

    【ALINX 技術(shù)分享】AMD Versal AI Edge 自適應(yīng)計(jì)算加速平臺準(zhǔn)備工作(1)

    AMD Versal AI Edge 自適應(yīng)計(jì)算加速平臺
    的頭像 發(fā)表于 03-07 15:49 ?698次閱讀
    【ALINX 技術(shù)分享】<b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b> <b class='flag-5'>自適應(yīng)計(jì)算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b><b class='flag-5'>之</b>準(zhǔn)備工作(1)

    【ALINX 技術(shù)分享】AMD Versal AI Edge 自適應(yīng)計(jì)算加速平臺 Versal 介紹(2)

    【ALINX 技術(shù)分享】AMD Versal AI Edge 自適應(yīng)計(jì)算加速
    的頭像 發(fā)表于 03-07 16:03 ?898次閱讀
    【ALINX 技術(shù)分享】<b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b> <b class='flag-5'>自適應(yīng)計(jì)算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b><b class='flag-5'>之</b> <b class='flag-5'>Versal</b> 介紹(2)

    AMD Versal AI Edge自適應(yīng)計(jì)算加速平臺PL LED實(shí)驗(yàn)(3)

    對于Versal來說PL(FPGA)開發(fā)是至關(guān)重要的,這也是Versal比其他ARM的有優(yōu)勢的地方,可以定制化很多ARM端的外設(shè)
    的頭像 發(fā)表于 03-13 15:38 ?867次閱讀
    <b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b><b class='flag-5'>自適應(yīng)計(jì)算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b><b class='flag-5'>PL</b> LED<b class='flag-5'>實(shí)驗(yàn)</b>(3)

    AMD Versal AI Edge自適應(yīng)計(jì)算加速平臺PL LED實(shí)驗(yàn)(3)

    對于Versal來說PL(FPGA)開發(fā)是至關(guān)重要的,這也是Versal比其他ARM的有優(yōu)勢的地方,可以定制化很多ARM端的外設(shè)
    的頭像 發(fā)表于 03-22 17:12 ?2134次閱讀

    AMD Versal AI Edge自適應(yīng)計(jì)算加速平臺體驗(yàn)ARM,裸機(jī)輸出(7)

    我們從原理圖中可以看到 ZYNQ 芯片分為PL和PS,PS端的IO分配相對是固定的,不能任意分配,而且不需要在Vivado軟件里分配管腳,雖然本實(shí)驗(yàn)僅僅使用了PS,但是還要建立一個Vivado工程,用來配置PS管腳。
    的頭像 發(fā)表于 04-19 10:45 ?1150次閱讀
    <b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b><b class='flag-5'>自適應(yīng)計(jì)算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b><b class='flag-5'>之</b>體驗(yàn)ARM,裸機(jī)輸出(7)

    Xilinx推出Versal:業(yè)界首款自適應(yīng)計(jì)算加速平臺,支持快速創(chuàng)新

    賽靈思公司(Xilinx)首席執(zhí)行官 Victor Peng 宣布推出 Versal – 業(yè)界首款自適應(yīng)計(jì)算加速平臺 (Adaptive Compute Acceleration P
    發(fā)表于 10-18 16:50 ?1520次閱讀

    賽靈思發(fā)布自適應(yīng)計(jì)算加速平臺芯片系列Versal

    2018年10月16日,F(xiàn)PGA大廠賽靈思(Xilinx)在北京召開了一年一度的“Xilinx開發(fā)者大會 ”(XDF) 。在本次會議上,賽靈思發(fā)布了全球首款自適應(yīng)計(jì)算加速平臺 (ACAP)芯片系列
    的頭像 發(fā)表于 10-22 16:52 ?5644次閱讀

    賽靈思Versal自適應(yīng)計(jì)算加速平臺助于高效實(shí)現(xiàn)設(shè)計(jì)目標(biāo)

    Versal 自適應(yīng)計(jì)算加速平臺的設(shè)計(jì)方法論是幫助精簡 Versal 器件設(shè)計(jì)進(jìn)程的一整套最佳實(shí)踐,遵循這些步驟和最佳實(shí)踐進(jìn)行操作,將有助
    的頭像 發(fā)表于 05-27 11:08 ?1765次閱讀
    賽靈思<b class='flag-5'>Versal</b><b class='flag-5'>自適應(yīng)計(jì)算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>助于高效實(shí)現(xiàn)設(shè)計(jì)目標(biāo)

    賽靈思Versal自適應(yīng)計(jì)算加速平臺指南

    賽靈思 Versal 自適應(yīng)計(jì)算加速平臺 (ACAP) 設(shè)計(jì)方法論是旨在幫助精簡 Versal 器件設(shè)計(jì)進(jìn)程的一整套最佳實(shí)踐。鑒于這些設(shè)計(jì)的
    的頭像 發(fā)表于 10-11 11:33 ?4174次閱讀

    DDR4/LPDDR4硬核控制器I/O plannin的設(shè)計(jì)和實(shí)現(xiàn)

    Versal新一代ACAP器件上,除了延續(xù)之前Ultrascale/Ultrascale+系列器件上已有的DDR4 IP之外,還配置了最新的DDR4/LPDDR4 硬核控制器 (
    的頭像 發(fā)表于 07-06 10:42 ?4200次閱讀

    Versal啟動文件簡述

    Versal? 是由多個高度耦合的可配置塊組成的自適應(yīng)計(jì)算加速平臺?(ACAP)
    的頭像 發(fā)表于 07-07 14:15 ?1002次閱讀
    <b class='flag-5'>Versal</b>啟動文件簡述

    Versal:首款自適應(yīng)計(jì)算加速平臺(ACAP)

    電子發(fā)燒友網(wǎng)站提供《Versal:首款自適應(yīng)計(jì)算加速平臺(ACAP).pdf》資料免費(fèi)下載
    發(fā)表于 09-18 09:28 ?1次下載
    <b class='flag-5'>Versal</b>:首款<b class='flag-5'>自適應(yīng)計(jì)算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>(ACAP)

    AMD發(fā)布第二代Versal自適應(yīng)SoC,AI嵌入式領(lǐng)域再提速

    AMD表示,第二代Versal系列自適應(yīng)SoC搭載全新的AI引擎,相較上一代Versal AI
    的頭像 發(fā)表于 04-11 16:07 ?672次閱讀

    ALINX受邀參加AMD自適應(yīng)計(jì)算峰會

    近日,AMD 自適應(yīng)計(jì)算峰會(AMD Adaptive Computing Summit, 即 AMD ACS)在深圳舉行,聚焦 AMD
    的頭像 發(fā)表于 08-02 14:36 ?511次閱讀