0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

AMD自適應(yīng)計算加速平臺之GTYP收發(fā)器誤碼率測試IBERT實驗(6)

ALINX ? 來源:ALINX ? 2024-04-12 16:22 ? 次閱讀

實驗VIvado工程為“ibert_test”,目錄中還有一個“ibert_ex”,是生成的測試工程。

Vidado軟件為我們提供了強(qiáng)大的誤碼率測試器IBERT,不但可以測試誤碼率還能測試眼圖,給我們使用高速收發(fā)器帶來很大的便利,本實驗做個拋磚引玉,簡單介紹IBERT的使用。

1.1硬件介紹

使用IBERT測試誤碼率和眼圖必須有個收發(fā)環(huán)通的硬件,開發(fā)板上有2個SFP光纖接口,本實驗把2個光接口收發(fā)兩兩連接,形成2個收發(fā)環(huán)通鏈路。

1.2Vivado工程建立

1)新建一個工程名為“ibert_test”

2)在“IP Catalog”中搜索“gt”快速找到“Versal ACAPs Transceivers Wizard”,雙擊

6213d3b4-f8a5-11ee-a297-92fbcf53809c.png

3)“Component Name”改為”ibert”,并修改preset為“Aurora 64B/66B”

623491a8-f8a5-11ee-a297-92fbcf53809c.png

4)點(diǎn)擊Transceiver Configs Protocol 0,配置發(fā)送和接收參數(shù),點(diǎn)擊OK

62468ea8-f8a5-11ee-a297-92fbcf53809c.png

62620192-f8a5-11ee-a297-92fbcf53809c.png

62761c68-f8a5-11ee-a297-92fbcf53809c.png

5)點(diǎn)擊Generate

62ab250c-f8a5-11ee-a297-92fbcf53809c.png

6)右鍵“Open IP Example Design...”,選擇example工程路徑

62bbdf82-f8a5-11ee-a297-92fbcf53809c.png

62cdf0d2-f8a5-11ee-a297-92fbcf53809c.png

7)添加buffer連接到apb3clk

62d76c66-f8a5-11ee-a297-92fbcf53809c.png

8)添加反向器連接到復(fù)位

6381648c-f8a5-11ee-a297-92fbcf53809c.png

9)其他一些信號配置為常數(shù)0

639b621a-f8a5-11ee-a297-92fbcf53809c.png

10)刪除輸出信號

63aef000-f8a5-11ee-a297-92fbcf53809c.png

11)配置sfp_disable為0

63c317d8-f8a5-11ee-a297-92fbcf53809c.png

12)將CIPS改成PL Subsystem

63e401e6-f8a5-11ee-a297-92fbcf53809c.png

13)約束引腳

63ededfa-f8a5-11ee-a297-92fbcf53809c.png

14)生成pdi文件

64044848-f8a5-11ee-a297-92fbcf53809c.png

1.3下載調(diào)試

1)插入光模塊,然后使用光纖將2個光口對接,連接好JTAG下載線,給開發(fā)板上電

6411fe8e-f8a5-11ee-a297-92fbcf53809c.png

2)使用JTAG下載BIT文件到開發(fā)板,可以看到速度接近10.3125Gbps。

643c26aa-f8a5-11ee-a297-92fbcf53809c.png

3)選擇IBERT,右鍵,選擇“Create Links”

64516cae-f8a5-11ee-a297-92fbcf53809c.png

參考原理圖,光纖連接到了Quad104的CH0和CH1,選擇Link 0為Quad_104 CH_0 TX和CH1 RX對應(yīng),Link 1為Quad_104 CH_1 TX和CH0 RX對應(yīng)

6465299c-f8a5-11ee-a297-92fbcf53809c.png

4)修改配置,碼流選擇PRBS 31,Loopback配置成None

647d1ec6-f8a5-11ee-a297-92fbcf53809c.png

5)配置完,可以點(diǎn)擊BERT Reset,可以看到Errors都是0,重新開始測試。

648f7b0c-f8a5-11ee-a297-92fbcf53809c.png

6)選擇一個鏈路,右鍵“Create Scan...”

64a14990-f8a5-11ee-a297-92fbcf53809c.png

64b1ceaa-f8a5-11ee-a297-92fbcf53809c.png

7)默認(rèn)配置出來的眼圖,注意:使用不同的軟件版本,測量眼圖可能會有差異。

64c47f96-f8a5-11ee-a297-92fbcf53809c.png



審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 收發(fā)器
    +關(guān)注

    關(guān)注

    10

    文章

    3352

    瀏覽量

    105735
  • amd
    amd
    +關(guān)注

    關(guān)注

    25

    文章

    5404

    瀏覽量

    133715
  • SFP
    SFP
    +關(guān)注

    關(guān)注

    3

    文章

    127

    瀏覽量

    35233
  • JTAG
    +關(guān)注

    關(guān)注

    6

    文章

    395

    瀏覽量

    71522
  • 光模塊
    +關(guān)注

    關(guān)注

    75

    文章

    1219

    瀏覽量

    58775
  • 光纖接口
    +關(guān)注

    關(guān)注

    0

    文章

    24

    瀏覽量

    8952

原文標(biāo)題:【ALINX 技術(shù)分享】AMD Versal AI Edge 自適應(yīng)計算加速平臺之GTYP收發(fā)器誤碼率測試IBERT實驗(6)

文章出處:【微信號:ALINX,微信公眾號:ALINX】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    【ALINX 技術(shù)分享】AMD Versal AI Edge 自適應(yīng)計算加速平臺準(zhǔn)備工作(1)

    AMD Versal AI Edge 自適應(yīng)計算加速平臺準(zhǔn)備工作,包含軟件環(huán)境、硬件環(huán)境。
    的頭像 發(fā)表于 03-07 15:49 ?710次閱讀
    【ALINX 技術(shù)分享】<b class='flag-5'>AMD</b> Versal AI Edge <b class='flag-5'>自適應(yīng)計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b><b class='flag-5'>之</b>準(zhǔn)備工作(1)

    【ALINX 技術(shù)分享】AMD Versal AI Edge 自適應(yīng)計算加速平臺 Versal 介紹(2)

    【ALINX 技術(shù)分享】AMD Versal AI Edge 自適應(yīng)計算加速平臺 Versal 介紹,以及Versal 芯片開發(fā)流程的簡介
    的頭像 發(fā)表于 03-07 16:03 ?914次閱讀
    【ALINX 技術(shù)分享】<b class='flag-5'>AMD</b> Versal AI Edge <b class='flag-5'>自適應(yīng)計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b><b class='flag-5'>之</b> Versal 介紹(2)

    #fpga 利用IBERT IP核實現(xiàn)GTX收發(fā)器硬件誤碼率測試實例

    fpga收發(fā)器
    明德?lián)P科技
    發(fā)布于 :2023年09月05日 11:32:14

    利用IBERT核對GTX收發(fā)器板級測試

    一、概述 IBERT(集成誤碼率測試儀)是xilinx為7系列FPGA GTX收發(fā)器設(shè)計的,用于評估和監(jiān)控GTX收發(fā)器。
    發(fā)表于 06-21 11:23

    誤碼率是怎么計算的?

    誤碼率是怎么計算
    發(fā)表于 11-02 07:51

    IP集成式誤碼率測試IBERT)的主要性能和優(yōu)勢介紹

    面向 UltraScale? 架構(gòu) GTY 收發(fā)器的可定制 LogiCORE? IP 集成式誤碼率測試 (IBERT) 核用于評估和監(jiān)控
    的頭像 發(fā)表于 07-08 09:58 ?4942次閱讀

    BER誤碼率 影響誤碼率的因素

    A 誤碼率基礎(chǔ) 誤碼率(Bit error rate, BER)是用于評估傳輸數(shù)字?jǐn)?shù)據(jù)的系統(tǒng)的關(guān)鍵參數(shù)。 適用于誤碼率的系統(tǒng)包括無線數(shù)據(jù)鏈路,以及光纖數(shù)據(jù)系統(tǒng)、以太網(wǎng)或任何通過噪聲、干擾和相位抖動
    發(fā)表于 03-21 10:15 ?1.6w次閱讀
    BER<b class='flag-5'>誤碼率</b> 影響<b class='flag-5'>誤碼率</b>的因素

    誤碼率是指什么_誤碼率是怎么表示_怎么計算

     誤碼的產(chǎn)生是由于在信號傳輸中,衰變改變了信號的電壓,致使信號在傳輸中遭到破壞,產(chǎn)生誤碼。噪音、交流電或閃電造成的脈沖、傳輸設(shè)備故障及其他因素都會導(dǎo)致誤碼 誤碼率(比如傳送的信號是1,
    的頭像 發(fā)表于 03-08 08:59 ?6.4w次閱讀
    <b class='flag-5'>誤碼率</b>是指什么_<b class='flag-5'>誤碼率</b>是怎么表示_怎么<b class='flag-5'>計算</b>

    關(guān)于利用IBERT核對GTX收發(fā)器板級測試的原理與過程詳解

    IBERT(集成誤碼率測試儀)是xilinx為7系列FPGA GTX收發(fā)器設(shè)計的,用于評估和監(jiān)控GTX收發(fā)器
    的頭像 發(fā)表于 05-02 22:10 ?6327次閱讀
    關(guān)于利用<b class='flag-5'>IBERT</b>核對GTX<b class='flag-5'>收發(fā)器</b>板級<b class='flag-5'>測試</b>的原理與過程詳解

    關(guān)于ZC706評估板的IBERT誤碼率測試和眼圖掃描詳細(xì)分析

    IBERT(Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調(diào)試FPGA高速串行接口比特誤碼率性能的工具,最常用在GT高速串行
    的頭像 發(fā)表于 04-27 16:10 ?7870次閱讀
    關(guān)于ZC706評估板的<b class='flag-5'>IBERT</b><b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>和眼圖掃描詳細(xì)分析

    利用IBERT IP核實現(xiàn)GTX收發(fā)器硬件誤碼率測試實例

    通過IBERT我們可以獲取誤碼率,觀察眼圖,調(diào)節(jié)串行收發(fā)器的參數(shù),從而有助于判斷可能存在的問題,便于驗證硬件的穩(wěn)定性和信號完整性。
    的頭像 發(fā)表于 08-14 10:14 ?1979次閱讀
    利用<b class='flag-5'>IBERT</b> IP核實現(xiàn)GTX<b class='flag-5'>收發(fā)器</b>硬件<b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>實例

    ZC706評估板IBERT誤碼率測試和眼圖掃描

    IBERT(Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調(diào)試FPGA高速串行接口比特誤碼率性能的工具,最常用在GT高速串行
    的頭像 發(fā)表于 06-21 11:29 ?3661次閱讀
    ZC706評估板<b class='flag-5'>IBERT</b><b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>和眼圖掃描

    基于IBERT的GTX數(shù)據(jù)傳輸測試

    的高速串行收發(fā)器(GTX)通信情況進(jìn)行板上測試。利用該IP核可以得到 GTX通信誤碼率,同時結(jié)合眼圖,有助于我們FPGA硬件的GTX通信穩(wěn)定性進(jìn)行驗證。
    的頭像 發(fā)表于 08-31 11:45 ?2293次閱讀
    基于<b class='flag-5'>IBERT</b>的GTX數(shù)據(jù)傳輸<b class='flag-5'>測試</b>

    Versal:首款自適應(yīng)計算加速平臺(ACAP)

    電子發(fā)燒友網(wǎng)站提供《Versal:首款自適應(yīng)計算加速平臺(ACAP).pdf》資料免費(fèi)下載
    發(fā)表于 09-18 09:28 ?1次下載
    Versal:首款<b class='flag-5'>自適應(yīng)計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>(ACAP)

    ALINX受邀參加AMD自適應(yīng)計算峰會

    近日,AMD 自適應(yīng)計算峰會(AMD Adaptive Computing Summit, 即 AMD ACS)在深圳舉行,聚焦 AMD
    的頭像 發(fā)表于 08-02 14:36 ?514次閱讀