0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

智能駕駛域控制器的SoC芯片選型

貞光科技 ? 2024-05-11 15:09 ? 次閱讀

智能駕駛產(chǎn)業(yè)鏈由感知層、決策層、執(zhí)行層組成。感知層的車載感知系統(tǒng)主要包括攝像頭、超聲波雷達(dá)、激光雷達(dá)、毫米波雷達(dá)等;路側(cè)輔助系統(tǒng)主要包括高精地圖、衛(wèi)星定位、慣性導(dǎo)航和V2X技術(shù)等。決策層主要包括ADAS算法、車載芯片、車載存儲(chǔ)器、高精地圖、云平臺(tái)。執(zhí)行層主要包括電子驅(qū)動(dòng)、電子轉(zhuǎn)向、電子制動(dòng)、燈光。平臺(tái)層主要包括大數(shù)據(jù)、智能駕駛解決方案、傳統(tǒng)車聯(lián)網(wǎng)、智能座艙。終端組成主要包括車載OBU、路測單元RSU、手機(jī)APP、邊緣計(jì)算。

wKgaomY_GaWARamzAAGBPQ-gk54194.jpg

隨著智能駕駛行業(yè)的發(fā)展,智能駕駛功能日益復(fù)雜,主流智駕輔助功能滲透率穩(wěn)步提升,車道保持輔助、緊急制動(dòng)輔助、自適應(yīng)巡航、盲區(qū)監(jiān)測、車道居中輔助、并線輔助、自動(dòng)變道輔助、自動(dòng)泊車入位、記憶泊車等功能逐漸落地。智能駕駛系統(tǒng)對傳感器、算力需求日益旺盛。智能駕駛系統(tǒng)既需要大量的算力,也需要多種類型的計(jì)算資源,典型的智能駕駛系統(tǒng)處理流程如下。

wKgZomY_GaWAfXwuAADp9DdeoSI128.jpg

智能駕駛系統(tǒng)是一種能夠自主感知、決策和執(zhí)行行駛?cè)蝿?wù)的車輛控制系統(tǒng)。智能駕駛的等級劃分通?;趪H標(biāo)準(zhǔn)化組織(ISO)的標(biāo)準(zhǔn),分為L0到L5六個(gè)等級。這些等級反映了自動(dòng)駕駛系統(tǒng)的成熟度和自動(dòng)化程度。以下是每個(gè)等級的具體描述:

L0:無自動(dòng)化。駕駛員全權(quán)負(fù)責(zé)駕駛過程,沒有任何自動(dòng)化功能介入。這是傳統(tǒng)駕駛方式,不涉及自動(dòng)駕駛技術(shù)。

L1:輔助駕駛功能。車輛提供部分自動(dòng)化功能,如自適應(yīng)巡航控制、自動(dòng)泊車等,但駕駛員仍需承擔(dān)主要的駕駛?cè)蝿?wù)和責(zé)任。自適應(yīng)巡航控制是一種智能化的巡航系統(tǒng),能夠根據(jù)前方路況自動(dòng)調(diào)整車速和車輛行駛姿態(tài),減輕駕駛員的駕駛壓力。而自動(dòng)泊車則是一種自動(dòng)停車輔助系統(tǒng),能夠在車輛找到合適的停車位后自動(dòng)完成停車過程,無需駕駛員操作方向盤、油門和剎車等。此外,車道保持功能也是L1級別自動(dòng)駕駛的一個(gè)重要組成部分,它可以通過攝像頭和傳感器識(shí)別道路邊界,自動(dòng)調(diào)整車輛行駛軌跡以保持車輛在車道內(nèi)穩(wěn)定行駛。這些功能能夠輔助駕駛員完成部分駕駛?cè)蝿?wù),提高駕駛的便利性和安全性。

L2:部分自動(dòng)化。車輛可以在特定條件下自主完成某些駕駛?cè)蝿?wù),主要包括自適應(yīng)巡航、自動(dòng)泊車、車道保持、變道輔助和自動(dòng)變道功能等,這些功能能夠在一定程度上減輕駕駛員的駕駛壓力,提高駕駛的便利性和安全性,駕駛員仍需監(jiān)控駕駛環(huán)境并準(zhǔn)備接管。其中,自適應(yīng)巡航和自動(dòng)泊車功能在L1級別自動(dòng)駕駛中已經(jīng)出現(xiàn),而在L2級別中得到了進(jìn)一步的提升和完善。此外,車道保持功能在L2級別自動(dòng)駕駛中也更加智能化和成熟,能夠自動(dòng)識(shí)別道路邊界并調(diào)整車輛行駛軌跡,保持車輛在車道內(nèi)穩(wěn)定行駛。變道輔助和自動(dòng)變道功能則能夠幫助駕駛員在合適時(shí)機(jī)自動(dòng)完成變道操作,提升行車安全。

L3:條件自動(dòng)化。在特定環(huán)境下,車輛可以在大部分時(shí)間內(nèi)自主駕駛,駕駛員不必始終保持注意力,但需要在系統(tǒng)請求時(shí)及時(shí)接管。這個(gè)級別的自動(dòng)駕駛可以在特定情況下完成車輛周邊環(huán)境的識(shí)別和駕駛,并根據(jù)收集到的數(shù)據(jù)進(jìn)行自主決策和執(zhí)行相應(yīng)的操作。除了常規(guī)的功能外,還包含了更多復(fù)雜場景的自動(dòng)泊車功能、高速路況的自動(dòng)駕駛以及記憶式導(dǎo)航等更為高級的自動(dòng)駕駛功能。具體來說,它能在特定的場景下實(shí)現(xiàn)車輛的自動(dòng)識(shí)別車道、自適應(yīng)巡航、自動(dòng)變道等功能,甚至在高速公路上自動(dòng)完成超車動(dòng)作。此外,還可以根據(jù)導(dǎo)航信息自主規(guī)劃出行路線,并在特定情況下完成自主超車等動(dòng)作。

L4:高度自動(dòng)化。車輛可以在各種環(huán)境和條件下自主駕駛,駕駛員在大多數(shù)時(shí)間可以不必參與駕駛,系統(tǒng)可以在特定情況下自主處理復(fù)雜路況。在某些情況下,駕駛員可能不需要任何操作。智能駕駛功能主要有自適應(yīng)巡航、自動(dòng)泊車、交通擁堵輔助、車道偏離預(yù)警和車輛主動(dòng)避障等功能。當(dāng)車輛處于復(fù)雜環(huán)境或不確定情況時(shí),它能自動(dòng)識(shí)別車道并處理緊急事件,如自動(dòng)變道、自動(dòng)避讓等。此外,它還可以根據(jù)導(dǎo)航信息自主規(guī)劃出行路線,并在特定情況下自主完成駕駛?cè)蝿?wù),如自動(dòng)駛?cè)敫咚俟返葓鼍???偟膩碚f,L4級別的自動(dòng)駕駛系統(tǒng)已經(jīng)具備了高度的智能化和自主決策能力。

L5:完全自動(dòng)化。這是最高級別的自動(dòng)駕駛,車輛可以在任何環(huán)境和條件下完全自主駕駛,駕駛員在車內(nèi)或車外都可以完全脫離駕駛?cè)蝿?wù)。車輛可以像機(jī)器人一樣自主行駛和操作。完全自主駕駛:L5級別自動(dòng)駕駛系統(tǒng)能夠在各種道路和環(huán)境中完全自主駕駛,無需任何人工干預(yù)。自主規(guī)劃路線:系統(tǒng)可以根據(jù)導(dǎo)航目標(biāo)自主規(guī)劃最優(yōu)路線,并自動(dòng)選擇道路、調(diào)整速度、避讓障礙物等。智能感知和決策:通過高清地圖、激光雷達(dá)、攝像頭等多種傳感器,系統(tǒng)能夠全面感知周圍環(huán)境,并實(shí)現(xiàn)自主決策和判斷。復(fù)雜場景處理:系統(tǒng)能夠處理復(fù)雜場景下的駕駛?cè)蝿?wù),包括高速公路、市區(qū)道路、雨雪天氣等環(huán)境,并具備應(yīng)對突發(fā)情況的能力。安全保障功能:系統(tǒng)具備多種安全保障措施,如自動(dòng)剎車、避障、車道保持等,確保乘客和行人的安全。目前許多公司和研究機(jī)構(gòu)都在朝著這個(gè)目標(biāo)努力研發(fā)相關(guān)技術(shù)。需要注意的是,盡管技術(shù)發(fā)展迅速,但達(dá)到L5級別的自動(dòng)駕駛?cè)孕枰鉀Q許多技術(shù)和法規(guī)方面的問題。

整個(gè)智能駕駛系統(tǒng)處理過程通常需要涉及以下幾種類型的計(jì)算資源:

  • 深度學(xué)習(xí)類:環(huán)境感知模塊是深度學(xué)習(xí)算力使用的大戶,包括常見的各類圖像、激光點(diǎn)云檢測算法,比如物體檢測、車道線檢測、紅綠燈識(shí)別等,都會(huì)涉及大量的典型神經(jīng)網(wǎng)絡(luò)(NN)的運(yùn)算。此類模塊通常使用高度定制化的NN加速器來實(shí)現(xiàn)。
  • 視覺處理類:此類屬于計(jì)算密集型,但并非深度學(xué)習(xí)類的算法模塊,比如圖像信號(hào)處理(ISP)、圖像金字塔(Pyramid)、畸變矯正(Rectify)、局部特征提取、光流跟蹤、圖像編解碼(Codec)等運(yùn)算。此類模塊通常使用硬化的專用視覺加速器來實(shí)現(xiàn)低時(shí)延。
  • 通用計(jì)算類:雖然定制化的深度學(xué)習(xí)、視覺處理加速器可以滿足大部分常見的成熟的計(jì)算密集型運(yùn)算,但仍然無法覆蓋全部需求。隨著前沿技術(shù)的快速發(fā)展和自研技術(shù)的深入,往往還會(huì)產(chǎn)生相當(dāng)一部分自定義的運(yùn)算模塊。此類模塊通常也是計(jì)算密集型的操作,無法使用CPU高效實(shí)現(xiàn),因此還需要通用的計(jì)算密集型處理單元(比如DSPGPU)來實(shí)現(xiàn)。
  • 邏輯運(yùn)算類:此類模塊包含大量的邏輯運(yùn)算,不適合使用計(jì)算密集型的處理器實(shí)現(xiàn),一般使用通用的CPU處理器來實(shí)現(xiàn)。此類模塊包括常見的多傳感器感知融合算法(比如卡爾曼濾波KF)、基于優(yōu)化的決策規(guī)劃算法、車輛控制算法、系統(tǒng)層面的功能邏輯、診斷邏輯、影子模式數(shù)據(jù)挖掘功能等。

典型的智能駕駛系統(tǒng)算力部署參考如下圖。

wKgaomY_GaWAPvgPAAByCIbmKBY641.jpg

智能駕駛系統(tǒng)設(shè)計(jì)之初就得考慮芯片選型,如何在眾多的SoC芯片選型是一門復(fù)雜的系統(tǒng)工程,不單需要考慮深度學(xué)習(xí)算力,還需要考慮CPU算力、安全、內(nèi)存帶寬、功耗、成本等。大疆車載在智能駕駛核心芯片的選型上積攢了一些經(jīng)驗(yàn),在此予以分享。 一顆典型SoC的主要組成:

wKgaomY_GaWAZqmoAAAuphIA85Q090.jpgwKgZomY_GaWAIBlWAAGuozNp2ns591.jpg

1、CPUCPU的內(nèi)部架構(gòu)可以簡化為如下模型。

v2-0440118fb5f3411af218d54a4a3ebd6c_1440w.webp

CPU內(nèi)有負(fù)責(zé)取指/分支預(yù)測/數(shù)據(jù)轉(zhuǎn)發(fā)等的Control、邏輯運(yùn)算的ALU、高速緩存Cache和DRAM等存儲(chǔ)單元。相對GPU等并行運(yùn)算核心,CPU的Control單元和存儲(chǔ)單元功能更加強(qiáng)大,適合做邏輯控制。

在車載SoC中,根據(jù)功能不同CPU又分為Safety MCUACPU,前者性能較弱但實(shí)時(shí)性和安全性更強(qiáng),后者多核心、高主頻、性能強(qiáng)大但實(shí)時(shí)性和安全性有所降低。

1.1、Safety MCUSafety MCU有多種常見的CPU架構(gòu),如英飛凌的TriCore、瑞薩的G3KH、ARM Cortex M7、ARM Cortex R5F。既有外置安全的MCU方案,也有內(nèi)置安全的MCU方案,如德州儀器TDA4內(nèi)置Cortex R5F,SoC一般會(huì)內(nèi)置Safety MCU來提高系統(tǒng)的集成度。

例如,Cortex R5F MCU核心的內(nèi)部架構(gòu)復(fù)雜程度參考下圖,主要特點(diǎn)如下:

  • 8級流水線;
  • CPU主頻可以支持到1.0 Ghz,遠(yuǎn)超傳統(tǒng)MCU;
  • Data Processing Unit負(fù)責(zé)各種運(yùn)算和邏輯控制;
  • FPU負(fù)責(zé)浮點(diǎn)運(yùn)算;
  • L1 Instruction/Data Cache是一級緩存,參考容量16KB+16KB;
  • Memory Protection Unit用于內(nèi)存保護(hù),保護(hù)能力有限,一般只能支持十幾個(gè)區(qū)域的保護(hù);

wKgaomY_GaWAEC-UAAIjBl7VkMU579.jpg

引自《DDI0460D_cortex_r5_r1p2_trm.pdf》

在Safety MCU(安全微控制器)的設(shè)計(jì)中,一個(gè)關(guān)鍵的特性是采用了所謂的鎖步核架構(gòu),這一架構(gòu)通過成對出現(xiàn)的核心——通常被稱為“Primary Core”和“Shadow Core”——來實(shí)現(xiàn)高可靠性的操作。這種設(shè)計(jì)通過一種獨(dú)特的方式確保了MCU在復(fù)雜環(huán)境中的穩(wěn)定性和安全性。鎖步核技術(shù)的核心在于,兩個(gè)核心在指令級別上實(shí)現(xiàn)了完全同步的操作,即它們在同一時(shí)刻執(zhí)行相同的指令,并產(chǎn)生相同的結(jié)果。為了確保兩者的一致性,系統(tǒng)配備了一個(gè)“Compare”機(jī)制,該機(jī)制會(huì)周期性地比較兩個(gè)核心的輸出結(jié)果。如果比較結(jié)果顯示兩者結(jié)果相同,則MCU繼續(xù)正常運(yùn)行;若結(jié)果不同,則表明系統(tǒng)中可能出現(xiàn)了錯(cuò)誤或故障,此時(shí)需要采取適當(dāng)?shù)陌踩胧?,如關(guān)閉系統(tǒng)或進(jìn)行故障隔離。雖然鎖步核架構(gòu)使用了兩個(gè)核心,但從計(jì)算能力的角度來看,它實(shí)際上只相當(dāng)于一個(gè)核心的性能。這是因?yàn)閮蓚€(gè)核心必須保持同步,并且在任何時(shí)刻都執(zhí)行相同的指令。然而,這種設(shè)計(jì)上的限制換來了更高的可靠性和安全性。鎖步核技術(shù)是實(shí)現(xiàn)MCU核心高診斷覆蓋度的一種傳統(tǒng)而有效的方法。通過在Primary Core和Shadow Core之間進(jìn)行比較,系統(tǒng)能夠在第一時(shí)間檢測到潛在的錯(cuò)誤或故障,從而避免它們對系統(tǒng)穩(wěn)定性和安全性造成威脅。經(jīng)過多年的實(shí)踐驗(yàn)證,這種方法已經(jīng)在微控制器和復(fù)雜度較低的微處理器領(lǐng)域展現(xiàn)出了其卓越的性能和可靠性。

wKgZomY_GaWAGO4FAAAaIISDaPs054.jpg

Safety MCU除要求CPU核心達(dá)到ASIL D外,往往會(huì)要求內(nèi)部總線、外設(shè)接口、電源等跟Main Domain隔離。否則,可能因?yàn)榈桶踩墑e的Main Domain的異常,如錯(cuò)誤操作外設(shè)寄存器,導(dǎo)致MCU Domain異常。

Safety MCU的算力一般使用KDMIPS(Kilo Dhrystone Million Instructions executed Per Second)表示,如Cortex R5F的算力約2 KDMIPS。

因?yàn)镸CU運(yùn)算和內(nèi)存資源比較有限,且不支持MMU(Memory Management Unit,比MPU強(qiáng)大的內(nèi)存管理單元),一般只能運(yùn)行如FreeRTOS之類的小型RTOS。車載行業(yè)一般要求RTOS達(dá)到ASIL D級別,常用的MCU RTOS主要有AUTOSAR OS, SafeRTOS。一般沒有配套的libc和STL庫,對C++的支持不夠友好,比較難開發(fā)維護(hù)復(fù)雜軟件。因?yàn)镾afety MCU的軟硬件的安全性和實(shí)時(shí)性都較高,一般用于運(yùn)行整車的數(shù)據(jù)交互、診斷、控制算法等軟件。

綜上,我們在進(jìn)行Safety MCU選型時(shí),除了關(guān)注Safety MCU的算力,還需要重點(diǎn)關(guān)注總線、外設(shè)等的隔離性,另外也需要關(guān)注片內(nèi)RAM的大小,全球汽車MCU原廠有恩智浦、德州儀器、意法半導(dǎo)體、微芯、英飛凌、瑞薩、芯力能、英特爾/Mobileye、高通、英偉達(dá)、安霸、ARM、特斯拉、比亞迪半導(dǎo)體、杰發(fā)、芯馳、芯旺、芯擎、芯鈦、黑芝麻智能、昆侖芯、后摩智能、西井科技、奕行智能、寒武紀(jì)行歌、海思、地平線、愛芯元智、元視芯、兆易創(chuàng)新、中穎、中微半導(dǎo)、芯海、國芯科技、杰發(fā)科技、肇觀電子、美仁、輝芒微、比亞迪半導(dǎo)體、智芯科技、旗芯微、航順、賽騰微、琪埔微、小華半導(dǎo)體、云途半導(dǎo)體、曦華科技、復(fù)旦微電、國民技術(shù)、極海、先楫半導(dǎo)體、紫光國微、蜂馳高芯、靈動(dòng)微、東軟載波、希格瑪微電子、匯春科技、華芯微電子、愛思科微電子、凌歐創(chuàng)芯、峰岹科技、泰矽微、旋智科技、芯弦半導(dǎo)體、盛騏微、君正、士蘭微、晟矽微電、耐能、芯科集成、澎湃微、恒爍、鉅泉、奕斯偉、凌思微、全志、華大北斗、瑞芯微等。

v2-1cd31cb9b654fe6abd342f0b5cc25df2_r.jpg

1.2、ACPU常用的ACPU的架構(gòu)有MIPS和ARM,但以ARM的Cortex A系列為主。相比較MCU,ACPU的架構(gòu)更復(fù)雜、主頻更高、Cache和RAM性能更優(yōu),整體性能更強(qiáng)。

例如,Cortex A72 ACPU核心的內(nèi)部架構(gòu)復(fù)雜程度參考下圖,比Safety MCU要復(fù)雜許多,相同主頻下算力約為Cortex R5F的3倍,主要升級如下:

  • 15級流水線:- Branch prediction可以提升分支預(yù)測的成功率;- Decode可以支持多條指令并行解碼;- Dispatch可以支持同時(shí)發(fā)射多條指令;- Interger execute / Adv SIMD and FP / Load store等多個(gè)執(zhí)行單元可以并行工作;
  • 可以支持更高的CPU主頻,如2.0 GHz;
  • Instruction / Data Cache是一級緩存,參考容量48KB+32KB;
  • 二級緩存一般較大,參考容量1MB,可極大降低指令和數(shù)據(jù)Cache Miss的概率,減少對DDR的訪問;
  • 支持MMU,可實(shí)現(xiàn)內(nèi)核態(tài)和用戶態(tài)之間、不同進(jìn)程之間的地址隔離,提高內(nèi)存訪問的安全性;

wKgZomY_GaaAT9G8AAH29d64Mg0749.jpg

引自《cortex_a72_mpcore_trm_100095_0003_06_en.pdf》

對L2+系統(tǒng),除要求ACPU核心達(dá)到ASIL B外,常用外設(shè)一般也要求達(dá)到ASIL B,如IPC/DMA/CSI,具體硬件安全級別要求依賴功能安全分解。

ACPU的算力一般使用KDMIPS表示,如Cortex A72的算力約11 KDMIPS。ACPU的算力取決于使用的CPU核心的架構(gòu)和CPU的主頻,一般架構(gòu)越新支持的主頻越高,常用CPU核心算力信息參考如下。

wKgaomY_GaaAJfpQAAD98Ghxlo8446.jpg

以AE結(jié)尾的ACPU Core可以支持鎖步,實(shí)現(xiàn)ASIL D功能安全級別,如Cortex-A65AE。未來L3~L4系統(tǒng)會(huì)對ASIL D ACPU算力有越來越多的需求。

ACPU不僅主頻高,資源充足,還具備運(yùn)行大型操作系統(tǒng)Linux的能力,為智能駕駛系統(tǒng)提供了強(qiáng)大的計(jì)算平臺(tái)。在L2+級別的智能駕駛系統(tǒng)中,功能安全要求往往對操作系統(tǒng)提出了ASIL B級別的嚴(yán)格標(biāo)準(zhǔn)。在這種情況下,QNX或VxWorks等操作系統(tǒng)成為了首選。這些操作系統(tǒng)不僅支持多進(jìn)程并發(fā)執(zhí)行,而且每個(gè)進(jìn)程都擁有獨(dú)立的地址空間,實(shí)現(xiàn)了進(jìn)程間的有效隔離。這種設(shè)計(jì)確保了系統(tǒng)的穩(wěn)定性和安全性,即使某個(gè)進(jìn)程崩潰,也不會(huì)影響到其他進(jìn)程的正常運(yùn)行。同時(shí),這些操作系統(tǒng)還支持智能駕駛系統(tǒng)中成百上千個(gè)線程的復(fù)雜調(diào)度。通過高效的線程管理機(jī)制,系統(tǒng)能夠充分利用ACPU的多核性能,實(shí)現(xiàn)快速響應(yīng)和高效處理。這對于實(shí)現(xiàn)智能駕駛系統(tǒng)的各種復(fù)雜功能,如傳感器數(shù)據(jù)的預(yù)處理、加速器的調(diào)度、感知融合、導(dǎo)航規(guī)劃等至關(guān)重要。

ACPU在智能駕駛系統(tǒng)中的應(yīng)用不僅限于軟件模塊的部署。隨著NN(神經(jīng)網(wǎng)絡(luò))算力的增加,ACPU需要處理更多的傳感器數(shù)據(jù)、更高分辨率的相機(jī)圖像以及更復(fù)雜的場景和功能。為了滿足這些需求,ACPU的算力也在不斷提升。現(xiàn)在,ACPU能夠支持更多高分辨率傳感器數(shù)據(jù)的預(yù)處理、深度學(xué)習(xí)模型的前后處理、更復(fù)雜的感知融合功能以及軌跡預(yù)測和行為規(guī)劃等任務(wù)。這些功能的實(shí)現(xiàn)都離不開ACPU強(qiáng)大的計(jì)算能力和高效的處理速度。此外,ACPU還配套了功能安全認(rèn)證的libc和STL庫等開發(fā)工具,為上層軟件的開發(fā)提供了極大的便利。這些工具不僅提高了開發(fā)效率,還確保了軟件的安全性和可靠性。

綜上,ACPU的選型需要重點(diǎn)關(guān)注算力,同時(shí)需要留意外設(shè)和操作系統(tǒng)的功能安全級別。此外,ACPU算力應(yīng)該和NN算力相匹配,以發(fā)揮最優(yōu)的系統(tǒng)性能。

2、并行計(jì)算2.1、DSPDSP芯片,也稱為數(shù)字信號(hào)處理器,是一種具有特殊結(jié)構(gòu)的微處理器,相比于通用CPU,更適用于計(jì)算密集度高的處理。

在DSP芯片內(nèi)部,通常采用程序和數(shù)據(jù)分開的哈弗結(jié)構(gòu),廣泛采用流水線操作,同時(shí)具有專門的硬件乘法器,提供特殊的DSP指令,可以用來快速的實(shí)現(xiàn)各種數(shù)字信號(hào)處理算法。

DSP芯片一般具有如下的主要特點(diǎn):

  • 程序和數(shù)據(jù)空間分開,可以同時(shí)訪問指令和數(shù)據(jù);
  • 片內(nèi)具有快速RAM,通??赏ㄟ^獨(dú)立的數(shù)據(jù)總線進(jìn)行連接;
  • 有專門的硬件乘法器,在一個(gè)指令周期內(nèi)可完成一次乘法和一次加法;
  • 具有低開銷或無開銷的循環(huán)及跳轉(zhuǎn)的硬件支持;
  • 在單時(shí)鐘周期內(nèi)可以操作多個(gè)硬件地址發(fā)生器;
  • 具有快速中斷處理和硬件I/O支持;
  • 支持流水線操作,使不同指令之間的取指、譯碼和執(zhí)行等操作可以并行執(zhí)行;

與通用微處理器相比,DSP芯片的其他通用功能相對較弱一些。DSP結(jié)構(gòu)示圖如下。

wKgZomY_GaaAaeOjAABbGOGA-II989.jpg

通過獨(dú)立的指令總線和數(shù)據(jù)總線與外部數(shù)據(jù)存儲(chǔ)進(jìn)行連接,外圍通常會(huì)配置L1和L2 cache,提高數(shù)據(jù)存取效率。

內(nèi)部主要分為程序控制單元(PCU)、地址發(fā)生單元(AGU)和數(shù)據(jù)計(jì)算單元(DALU),外加一些地址寄存器和數(shù)據(jù)寄存器。每個(gè)處理單元都是獨(dú)立的硬件模塊,通過指令流水將各個(gè)模塊并行起來處理,提高DSP的處理能力。

在DSP評估過程中,運(yùn)算速度是DSP芯片的一個(gè)最重要的性能指標(biāo),通常有如下幾個(gè)方面的考量:

  • 數(shù)據(jù)位寬長度;
  • 單周期內(nèi)的乘累加個(gè)數(shù);
  • 寄存器個(gè)數(shù);
  • 單周期內(nèi)同時(shí)可處理的指令個(gè)數(shù);
  • 內(nèi)聯(lián)指令豐富程度;
  • 外圍SRAM大??;

隨著DSP在圖像、音頻機(jī)器學(xué)習(xí)領(lǐng)域的應(yīng)用,芯片廠商對DSP也同樣做了新場景的適配和支持,如TI的C71 DSP,除了支持常見的標(biāo)量運(yùn)算和矢量運(yùn)算,還增加的矩陣乘加速器(MMA),進(jìn)一步的提升了DSP的專用能力,讓開發(fā)者更容易進(jìn)行NN模型部署。

業(yè)內(nèi)知名的DSP芯片廠要包括德州儀器、亞德諾等。也有多家國產(chǎn)DSP芯片進(jìn)軍汽車市場,包括進(jìn)芯電子、中科昊芯等。其中進(jìn)芯電子已經(jīng)推出了32位浮點(diǎn)DSP芯片AVP32F335系列產(chǎn)品,中科昊芯即將推出HXS320F280039C、HXS320F28379D等32位浮點(diǎn)RISC-V DSP芯片產(chǎn)品。

2.2、GPUCPU的功能模塊多,適合復(fù)雜的運(yùn)算場景,大部分晶體管用在控制電路和存儲(chǔ)上,少部分用來完成運(yùn)算工作。GPU的控制相對簡單,且不需要很大的Cache,大部分晶體管被用于運(yùn)算,GPU的計(jì)算速度因此大增,擁有強(qiáng)大的浮點(diǎn)運(yùn)算能力。

wKgaomY_GaaADlsKAABA9pjE6G4892.jpg

CPU與GPU架構(gòu)對比示意圖當(dāng)前的多核CPU一般由4或6個(gè)核組成,以此模擬出8個(gè)或12個(gè)處理進(jìn)程來運(yùn)算。普通的GPU就包含了幾百個(gè)核,高端的有上萬個(gè)核,這對于處理大量的重復(fù)處理過程有著天生的優(yōu)勢,同時(shí)更重要的是,它可以用來做大規(guī)模并行數(shù)據(jù)處理。

在應(yīng)用方面,GPU適合前后計(jì)算步驟無依賴性、相互獨(dú)立的計(jì)算場景,很多涉及到大量計(jì)算的問題基本都有這種特性,比如圖形學(xué)的計(jì)算、挖礦和破解密碼等,這些計(jì)算可以分解為多個(gè)相同的小任務(wù),每個(gè)小任務(wù)由GPU中的單個(gè)核處理,GPU通過眾核并發(fā)的方式提高同時(shí)處理小任務(wù)的個(gè)數(shù),從而提高計(jì)算速度。而CPU更適合前后計(jì)算步驟嚴(yán)密關(guān)聯(lián),邏輯依賴較高的計(jì)算場景。

GPU相比CPU有幾個(gè)特點(diǎn):

  • 運(yùn)算資源非常豐富;
  • 控制部件占得面積非常小;
  • 內(nèi)存帶寬大;
  • 內(nèi)存延時(shí)高,對比CPU使用多級緩存緩解延時(shí),GPU采用多線程的方式處理;
  • GPU處理需要數(shù)據(jù)高度對齊;
  • 寄存器資源極為豐富;

實(shí)際CPU與GPU最大的區(qū)別是帶寬,CPU像法拉利,跑的很快,但要是拉貨,就不如重卡。GPU像重卡,跑的不快,但一次拉貨多。有些貨可以全部打包裝車運(yùn)輸,如這些貨都來自一個(gè)地方,大小相同,需要運(yùn)輸?shù)揭粋€(gè)地方,這就是計(jì)算密集型任務(wù)。有些貨不行,比如這些貨要去不同地方,體積大小不一,不能多個(gè)打包,只能多次運(yùn)輸,這就是控制密集型任務(wù)。CPU在緩存、分支預(yù)測、亂序執(zhí)行方面花了很多精力,用大量寄存器實(shí)現(xiàn)這些功能,保證了高速度,頻率一般都遠(yuǎn)高于GPU,每次速度很快,但大量寄存器占用大量空間,考慮到成本以及半導(dǎo)體的基本定律(單顆die面積不超過800平方毫米,否則良率會(huì)急速下降),CPU的核心數(shù)非常有限,每次能帶的貨很少。GPU相反,不考慮分支預(yù)測與亂序執(zhí)行,用最快的寄存器代替緩存,結(jié)構(gòu)簡單,晶體管數(shù)量少,可以輕易做到幾千核心,每次能帶的貨很多,但速度不快。所以,相對來說,GPU更適合處理分支少,數(shù)據(jù)量大,計(jì)算簡單且重復(fù)的運(yùn)算任務(wù)。

2.3、深度學(xué)習(xí)能力廣義上來說,只要能夠運(yùn)行人工智能算法的芯片都叫做深度學(xué)習(xí)芯片。但是通常意義上的深度學(xué)習(xí)芯片,指的是針對深度學(xué)習(xí)算法做了特殊加速設(shè)計(jì)的芯片。

通常來說,深度學(xué)習(xí)芯片普遍以O(shè)PS(Operations Per Second)為單位來評估深度學(xué)習(xí)的理論峰值算力。OPS的物理計(jì)算單位是乘積累加運(yùn)算(Multiply Accumulate, MAC),是在微處理器中的特殊運(yùn)算。1 * MAC = 2 * OPS。實(shí)現(xiàn)此運(yùn)算操作的硬件電路單元,被稱為“乘累加器”。這種運(yùn)算的操作,是將乘法的乘積結(jié)果b*c和累加器a的值相加,再存入累加器a的操作:a ← a + b*c

深度學(xué)習(xí)算力理論值取決于運(yùn)算精度、MAC的數(shù)量和運(yùn)行頻率。對于定點(diǎn)和浮點(diǎn)計(jì)算單元共用核心的加速器,可大致簡化為INT8精度下的MAC數(shù)量在FP16精度下等于減少了一半,F(xiàn)P32再減少一半,依次類推。例如,假設(shè)芯片內(nèi)有512個(gè)MAC運(yùn)算單元,運(yùn)行頻率為1GHz,則INT8的算力為512 * 2 * 1GHz = 1TOPS(Tera Operations Per Second),F(xiàn)P16的算力為0.5TOPS,F(xiàn)P32的算力為0.25TOPS。

通常,各大芯片廠商宣傳的TOPS往往都是運(yùn)算單元的理論值,而非整個(gè)硬件系統(tǒng)的真實(shí)值。實(shí)際運(yùn)行起來,真正的有效算力可能只有理論值的30%算力,甚至更低。這里就涉及到“算力利用率”的概念。比如說,某個(gè)神經(jīng)網(wǎng)絡(luò)模型需要的理論算力是1TOPS,而實(shí)際運(yùn)行的SoC的標(biāo)稱算力是4TOPS,那么利用率只有25%。以下是特斯拉、Mobileye、英偉達(dá)、華為、地平線芯片的算力對比表。

wKgZomY_GaaASyk5AAEYxix0PFg899.jpg

以ResNet-50及MobileNet V1網(wǎng)絡(luò)在SoC A和SoC B上的運(yùn)行數(shù)據(jù)為例,實(shí)際的有效算力會(huì)因?yàn)閳D片分辨率、網(wǎng)絡(luò)結(jié)構(gòu)差異等原因而不同。

wKgaomY_GaaAdLhKAAEju0ZKrDU641.jpg

這又是什么原因呢?通常來說,實(shí)際的有效算力主要受兩方面的影響:1)處理器的計(jì)算架構(gòu):從上表可以看到,即使是同一款SoC,對不同網(wǎng)絡(luò)結(jié)構(gòu)的利用率差異也非常大。這是因?yàn)樯疃葘W(xué)習(xí)加速器本身是高度定制化的計(jì)算架構(gòu),只有執(zhí)行和加速器特性比較匹配的網(wǎng)絡(luò)結(jié)構(gòu)才能發(fā)揮出較高的利用率。

2)存儲(chǔ)帶寬:存儲(chǔ)帶寬決定數(shù)據(jù)搬運(yùn)的速度。如果存儲(chǔ)帶寬跟不上計(jì)算速度,則數(shù)據(jù)無法及時(shí)到達(dá)計(jì)算單元,導(dǎo)致處理器的計(jì)算單元空置,從而導(dǎo)致處理器的算力利用率大打折扣。智能駕駛應(yīng)用的處理場景通常具有圖像分辨率大、并行樣本量(batch size)小、網(wǎng)絡(luò)結(jié)構(gòu)小的特點(diǎn),這對于存儲(chǔ)帶寬的要求通常會(huì)更高。

同汽車的動(dòng)力指標(biāo),馬力不如百公里加速時(shí)間更真實(shí)反映整車動(dòng)力性能;同理,有效算力比理論算力更能反映芯片實(shí)際性能。所以,在SoC選型時(shí)需要重點(diǎn)關(guān)注SoC全系統(tǒng)能夠提供的有效算力。

2.4、算力多樣化需求在深度學(xué)習(xí)推理端,各家芯片往往都會(huì)根據(jù)自家的神經(jīng)網(wǎng)絡(luò)推理框架設(shè)計(jì)對應(yīng)的NN處理器,各種TPU/NPU/DPU…層出不窮,芯片廠家根據(jù)神經(jīng)網(wǎng)絡(luò)特點(diǎn),通過定制化的設(shè)計(jì)處理器,使得軟硬件的適配度更高,從而提高芯片算力的利用率。

市場上,除了NN處理器,像高通/德州儀器等公司的車載芯片,在SoC上配備了GPU/DSP/CV加速器等通用算力處理器來提高車載芯片的處理能力和算法開發(fā)的擴(kuò)展性。

在智能駕駛系統(tǒng)中,大部分的計(jì)算可以通過深度學(xué)習(xí)處理器來完成。但是,對于一些算法開發(fā)能力較強(qiáng)的公司來說,會(huì)根據(jù)實(shí)際的業(yè)務(wù)場景需求,來設(shè)計(jì)自己的神經(jīng)網(wǎng)絡(luò)結(jié)構(gòu),芯片廠商提供的NN處理器的算子庫無法滿足他們的需求,往往存在一些自定義算子的開發(fā)。另外,ISP、多傳感器融合、定位與建圖等功能還會(huì)涉及一些非深度學(xué)習(xí)的視覺算法的實(shí)現(xiàn)。此時(shí),車載芯片上的GPU/DSP/CV加速器將可以很好的補(bǔ)充這部分算力需求。

DSP能夠提供低功耗的矢量處理能力,相比于CPU,可以使用DSP的SIMD指令很好的應(yīng)對并行度高,數(shù)據(jù)連續(xù)性較好的算法。對于并行度高,但是數(shù)據(jù)連續(xù)性較差的算法,如果部署在DSP上,將對IO帶寬帶來很大的挑戰(zhàn),無法充分發(fā)揮DSP的計(jì)算能力,但是GPU的高并發(fā)特點(diǎn),可以很好的應(yīng)對這種算法。同時(shí),GPU的圖像處理能力能夠滿足智能駕駛場景中渲染和可視化的需求。

綜上,SoC選型時(shí),需要根據(jù)業(yè)務(wù)需求,合理規(guī)劃和分配算力,實(shí)現(xiàn)SoC各個(gè)模塊協(xié)調(diào)高效合作,而非只關(guān)注深度學(xué)習(xí)算力。

3、安全3.1、網(wǎng)絡(luò)安全(Cybersecurity)隨著UNECE WP29 R155法規(guī)、ISO/SAE 21434標(biāo)準(zhǔn)的發(fā)布,國內(nèi)也緊跟著發(fā)布了一系列車載網(wǎng)絡(luò)安全相關(guān)的國標(biāo)、法規(guī),包括網(wǎng)絡(luò)安全技術(shù)相關(guān)的、流程相關(guān)的、數(shù)據(jù)保護(hù)相關(guān)的等等,這一切表明網(wǎng)絡(luò)安全在智能網(wǎng)聯(lián)汽車行業(yè)的重視程度在逐日提升。

網(wǎng)絡(luò)安全機(jī)制的實(shí)現(xiàn)講究縱深防御,上層包括面向服務(wù)的應(yīng)用防火墻、對服務(wù)訪問的鑒權(quán)和授權(quán)等,中間層包括操作系統(tǒng)的進(jìn)程訪問權(quán)限管理、文件系統(tǒng)加密、以太網(wǎng)防火墻、安全通信、調(diào)試接口管控、安全審計(jì)等,底層包括安全啟動(dòng)、安全升級、安全存儲(chǔ)、密鑰管理等基礎(chǔ)功能。在芯片選型時(shí),關(guān)于網(wǎng)絡(luò)安全往往會(huì)考慮如下方面:

  • 芯片的封裝。盡量選擇BGA封裝的芯片。
  • 芯片防信道攻擊的能力。目前很多側(cè)信道攻擊的手段可以很輕易獲取到芯片運(yùn)行時(shí)的關(guān)鍵資產(chǎn),例如密鑰。
  • 芯片的調(diào)試接口。例如JTAG,可通過某種硬件機(jī)制永久關(guān)閉,或者可通過軟件安全機(jī)制控制芯片調(diào)試接口的開關(guān)。
  • 芯片的安全啟動(dòng)。安全啟動(dòng)一般起始于芯片的BootRom,通過校驗(yàn)固件的簽名,來防止固件被惡意篡改,確保了固件的完整性。
  • 芯片的安全運(yùn)行環(huán)境。該運(yùn)行環(huán)境主要用于管理芯片運(yùn)行時(shí)的關(guān)鍵資產(chǎn),例如芯片的安全配置、密鑰等,并通過硬件來實(shí)現(xiàn)安全算法加速服務(wù)。
  • 芯片的內(nèi)存保護(hù)單元。例如MMU或MPU,該單元一般集成在處理器中,由運(yùn)行在處理器上的操作系統(tǒng)進(jìn)行配置,實(shí)現(xiàn)運(yùn)行態(tài)的內(nèi)核/進(jìn)程/線程的地址虛擬化和數(shù)據(jù)隔離。
  • 芯片唯一SN。一般會(huì)用于綁定、認(rèn)證等安全業(yè)務(wù)。

除了以上技術(shù)要求之外,在芯片選型時(shí),也需要考慮供應(yīng)商網(wǎng)絡(luò)安全資質(zhì)的要求,例如是否有CSMS管理體系。

3.2、功能安全(FuSa)眾所周知 “智能駕駛、安全第一”。SoC作為智能駕駛控制器的核心,其安全性能是確保最終交付安全產(chǎn)品的關(guān)鍵。因此在SoC芯片的設(shè)計(jì)選型中,必須把功能安全作為核心指標(biāo)進(jìn)行評估:

  • SoC芯片支持的功能安全完整性等級(ASIL)是否滿足最終產(chǎn)品的安全等級需求;
  • SoC芯片的安全設(shè)計(jì)是否匹配當(dāng)前的產(chǎn)品的功能安全概念;
  • SoC芯片是否全面考慮支持不同駕駛自動(dòng)化等級產(chǎn)品應(yīng)用;

為了實(shí)現(xiàn)上述目標(biāo),同時(shí)需要對SoC供應(yīng)商的功能安全的設(shè)計(jì)和開發(fā)能力進(jìn)行全面評估:

  • 對SoC的安全設(shè)計(jì)概念進(jìn)行評估,包括安全需求、安全狀態(tài)、故障容錯(cuò)時(shí)間間隔等;
  • 對SoC的安全機(jī)制設(shè)計(jì)進(jìn)行評估,包含診斷機(jī)制、自檢機(jī)制、安全隔離和冗余設(shè)計(jì)等;
  • 對SoC的安全分析結(jié)果進(jìn)行評估,包括定性安全分析、定量安全分析和相關(guān)失效分析結(jié)果等;
  • 對SoC的開發(fā)工具鏈的鑒定報(bào)告進(jìn)行檢查,包括工具軟件的置信度評估結(jié)果,軟件工具開發(fā)過程評估等;
  • 對廠商提供的SoC相關(guān)的安全審核、認(rèn)證和評估結(jié)果進(jìn)行檢查,包括是否是獨(dú)立的第三方審核和評估,評估范圍、評估報(bào)告的等;

功能安全的級別跟SoC的功能安全目標(biāo)相關(guān)。評估時(shí)需要細(xì)分SoC內(nèi)部各個(gè)模塊的功能安全等級,從軟件和硬件維度,確認(rèn)SoC的功能安全設(shè)計(jì)是否能夠全面、有效的滿足自家產(chǎn)品的安全需求。在產(chǎn)品應(yīng)用層面,還需要全面評估產(chǎn)品引入功能安全設(shè)計(jì)后,潛在的SoC算力需求增加、通信帶寬增大、存儲(chǔ)容量需求增加等方面的變化,確保SoC安全功能設(shè)計(jì)能夠在項(xiàng)目中完整落地。

4、其他4.1、內(nèi)存帶寬SoC內(nèi)部的CPU、NN加速器、GPU等除了執(zhí)行指令外,還會(huì)從DDR讀取指令和讀寫數(shù)據(jù)。但DDR的訪問不能單周期完成,典型的訪問延時(shí)100ns+。盡管Cache在一定程度上可以緩解DDR的訪問延時(shí)問題,但考慮到多核心并發(fā)、隨機(jī)訪問DDR,DDR帶寬往往會(huì)成為CPU和各個(gè)加速器運(yùn)行的瓶頸。例如,假設(shè)NN加速器處理一幀圖像,50ms用于DDR數(shù)據(jù)的加載和存儲(chǔ),50ms用于在數(shù)據(jù)運(yùn)算,此時(shí)幀率是10Hz;如果DDR的帶寬減半,此時(shí)需要100ms用于DDR數(shù)據(jù)的加載和存儲(chǔ),50ms用于在數(shù)據(jù)運(yùn)算,此時(shí)幀率為6.7Hz。可見,DDR帶寬可以間接影響各個(gè)處理器和加速器的運(yùn)行的效率。

常用的單通道(32bit) DDR的頻率和帶寬參考如下。

wKgaomY_GaaAXN2_AABHNy2hGL0336.jpg

如下圖為內(nèi)存多通道交織的例子:如果只使用一個(gè)通道,對DDR的訪問是單通道串行的;如果CPU同時(shí)連接到4通道的DDR,4個(gè)通道之間的訪問可以并發(fā),提高DDR帶寬。

wKgZomY_GaaADr0WAAATxaCQBSQ210.jpg

綜上,除了關(guān)注DDR的單通道帶寬外,還需要重點(diǎn)關(guān)注DDR的通道數(shù),如理論上雙通道的DDR帶寬是單通道的2倍。

4.2、功耗和成本相同的芯片規(guī)格,芯片的工藝會(huì)直接影響到芯片的功耗,如7nm和16nm 30T算力的SoC功耗大約為15W和30W。算力的增加同樣會(huì)增加功耗,如7nm 30T和200T算力的SoC功耗大約為15W和100W。功耗的大小又會(huì)影響到結(jié)構(gòu)和散熱,較高的功耗需要增加風(fēng)扇、尺寸、銅管、材料等,進(jìn)一步增加域控制器的成本。

算力的增加也意味著芯片成本的增加,如200T算力的SoC的價(jià)格約為30T算力的SoC的7倍,所以在選擇芯片規(guī)格的時(shí)候也要重點(diǎn)關(guān)注對算力的真實(shí)需求,過多的預(yù)留可能會(huì)導(dǎo)致成本的浪費(fèi)。

4.3、豐富的IO接口資源自動(dòng)駕駛的主控處理器需要豐富的接口來連接各種各樣的傳感器設(shè)備。目前業(yè)界常見的自動(dòng)駕駛傳感器主要有:攝像頭、激光雷達(dá)、毫米波雷達(dá)、超聲波雷達(dá)、組合導(dǎo)航、IMU以及V2X模塊等。

  • 對攝像頭的接口類型主要有:MIPI CSI-2、LVDS、FPD Link等;
  • 激光雷達(dá)一般是通過普通的Ethernet接口來連接;
  • 毫米波雷達(dá)都是通過CAN總線來傳輸數(shù)據(jù);
  • 超聲波雷達(dá)基本都是通過LIN總線;
  • 組合導(dǎo)航與慣導(dǎo)IMU常見接口是RS232;
  • V2X模塊一般也是采用Ethernet接口來傳輸數(shù)據(jù)。

除了上述傳感器所需IO接口外,常見的其它高速接口與低速接口也都是需要的,比如:PCIe、USB、I2C、SPI、RS232等等。

4.4、芯片的生態(tài)(工具鏈)芯片整個(gè)軟件的工具鏈或者對一些算法的開發(fā)是不是能滿足客戶的需求。也就是說芯片的生態(tài)怎么樣,是否具備一個(gè)良好的生態(tài)系統(tǒng)能夠支撐客戶做可落地化的開發(fā),也是主機(jī)廠或Tier1在選擇芯片時(shí)候的重要考量因素之一。

根據(jù)蓋世汽車研究院數(shù)據(jù)顯示,2023年高通座艙域控芯片裝機(jī)量超226萬顆,市場占比近六成。排在高通之后的同樣是從消費(fèi)電子芯片領(lǐng)域跨界而來的AMD,其2023年座艙域控芯片裝機(jī)量近57.6萬顆,市場占比超15%。這主要來自于為特斯拉代工的和碩與廣達(dá),對應(yīng)車型為Model 3與Model Y。

wKgZomY_GaeALYs2AAJJNP82T18282.jpg

瑞薩排位第三,2023年座艙域控芯片裝機(jī)量近32.8萬顆。德賽西威是主要客戶,其超20萬套座艙域控采用的瑞薩的M3或H3。除德賽西威外,這兩款芯片也用于安波福、佛吉亞、華陽通用的座艙域控產(chǎn)品中,配套量居高的車型包括艾瑞澤8、哈弗H6等。英特爾、三星、德州儀器也都在10萬級以上,其中英特爾近76%座艙域控芯片配套于東軟的座艙域控產(chǎn)品;三星則主要供應(yīng)LG;德州儀器主要供應(yīng)安波福。芯擎科技憑借60,152顆的裝機(jī)量,拿到了“第七”的排位,高于英偉達(dá)與恩智浦。據(jù)悉,該年度“龍鷹一號(hào)”主要配套北斗智聯(lián)和億咖通的座艙域控產(chǎn)品,涉及車型領(lǐng)克08 EM-P、睿藍(lán)7等。華為此次排位第十,麒麟芯片2023年裝機(jī)量為21,923顆,主要配套阿維塔11、阿維塔12等車型。

注:轉(zhuǎn)載至 網(wǎng)絡(luò) 文中觀點(diǎn)僅供分享交流,不代表貞光科技立場,如涉及版權(quán)等問題,請您告知,我們將及時(shí)處理

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • SoC芯片
    +關(guān)注

    關(guān)注

    1

    文章

    592

    瀏覽量

    34800
  • 智能駕駛
    +關(guān)注

    關(guān)注

    3

    文章

    2377

    瀏覽量

    48552
  • 自動(dòng)駕駛
    +關(guān)注

    關(guān)注

    782

    文章

    13532

    瀏覽量

    165741
  • 汽車輔助駕駛
    +關(guān)注

    關(guān)注

    0

    文章

    4

    瀏覽量

    6854
收藏 人收藏

    評論

    相關(guān)推薦

    使用邏輯和轉(zhuǎn)換優(yōu)化ADAS域控制器

    電子發(fā)燒友網(wǎng)站提供《使用邏輯和轉(zhuǎn)換優(yōu)化ADAS域控制器.pdf》資料免費(fèi)下載
    發(fā)表于 09-04 10:27 ?0次下載
    使用邏輯和轉(zhuǎn)換優(yōu)化ADAS<b class='flag-5'>域控制器</b>

    Arm Cortex-R82AE賦能高性能區(qū)域控制器設(shè)計(jì)

    在之前的一篇推文中我曾談到過,汽車行業(yè)的近期發(fā)展趨勢正在推動(dòng)對汽車架構(gòu)中區(qū)域控制器域控制器的需求。而基于 Armv8-R 的 Arm Cortex-R52 和 Cortex-R52+ 核心正是滿足
    的頭像 發(fā)表于 09-02 10:23 ?328次閱讀

    榮威RX5智能駕駛域控制器方案

    域控制器的線速端子分布在域控制器的左右兩側(cè);右側(cè)主要分布電源、IO、通信接口、GPS天線等端子;左側(cè)主要分布了環(huán)視、周視、前視的線束端子。
    發(fā)表于 04-03 11:22 ?1139次閱讀
    榮威RX5<b class='flag-5'>智能</b><b class='flag-5'>駕駛</b><b class='flag-5'>域控制器</b>方案

    汽車區(qū)域控制器架構(gòu)趨勢下,這三類的典型電路設(shè)計(jì)正在改變

    汽車市場正在轉(zhuǎn)向區(qū)域控制器架構(gòu)的趨勢方向,而汽車區(qū)域控制器架構(gòu)正朝著分布式、集成化、智能化的方向發(fā)展,以實(shí)現(xiàn)更高效的數(shù)據(jù)處理、功能整合與自動(dòng)駕駛支持?;趨^(qū)
    的頭像 發(fā)表于 03-23 08:29 ?962次閱讀
    汽車區(qū)<b class='flag-5'>域控制器</b>架構(gòu)趨勢下,這三類的典型電路設(shè)計(jì)正在改變

    汽車區(qū)域控制器架構(gòu)趨勢下的SmartFET應(yīng)用

    汽車市場正在轉(zhuǎn)向區(qū)域控制器架構(gòu)的趨勢方向,而汽車區(qū)域控制器架構(gòu)正朝著分布式、集成化、智能化的方向發(fā)展,以實(shí)現(xiàn)更高效的數(shù)據(jù)處理、功能整合與自動(dòng)駕駛支持。
    的頭像 發(fā)表于 03-19 10:41 ?779次閱讀
    汽車區(qū)<b class='flag-5'>域控制器</b>架構(gòu)趨勢下的SmartFET應(yīng)用

    自研智能駕駛控制器成功的背后原因與策略分析

    從成本的角度來看,SoC芯片的迭代速度很快,如果選錯(cuò)了道路(Mobileye切換英偉達(dá),是個(gè)典型的案例),如果不把智能駕駛控制器的規(guī)劃設(shè)計(jì)和
    發(fā)表于 03-04 12:29 ?287次閱讀
    自研<b class='flag-5'>智能</b><b class='flag-5'>駕駛</b><b class='flag-5'>控制器</b>成功的背后原因與策略分析

    經(jīng)緯恒潤全棧自研底盤域控制器量產(chǎn)

    。汽車智能底盤是影響車輛運(yùn)行過程中安全性、舒適性與穩(wěn)定性的重要因素,智能底盤的發(fā)展對自動(dòng)駕駛量產(chǎn)落地有著極其重要的意義。因此,底盤域控制器的解決方案也受到越來越多O
    的頭像 發(fā)表于 02-27 08:00 ?539次閱讀
    經(jīng)緯恒潤全棧自研底盤<b class='flag-5'>域控制器</b>量產(chǎn)

    怎么選智能汽車域控制器芯片

    1.控制芯片介紹自動(dòng)駕駛芯片是指可實(shí)現(xiàn)高級別自動(dòng)駕駛SoC
    的頭像 發(fā)表于 02-01 16:45 ?2513次閱讀
    怎么選<b class='flag-5'>智能</b>汽車<b class='flag-5'>域控制器</b><b class='flag-5'>芯片</b>

    座艙域控制器硬件架構(gòu)方案:SoC + MCU

    座艙域控制器(Cabin Domain Controller)是一種用于航空飛機(jī)中的電子系統(tǒng),用于集中管理和控制飛機(jī)內(nèi)部的各種功能和系統(tǒng)。它是飛機(jī)電氣系統(tǒng)的關(guān)鍵組件之一。
    的頭像 發(fā)表于 02-01 11:20 ?7360次閱讀
    座艙<b class='flag-5'>域控制器</b>硬件架構(gòu)方案:<b class='flag-5'>SoC</b> + MCU

    Hailo-8 AI加速與瑞薩R-Car SoC為知行科技的iDC High域控制器賦能

    摘要:知行科技iDC High是一款最新的高性能被動(dòng)冷卻型域控制器,使高級駕駛和泊車的安全性和舒適性應(yīng)用更適合大眾車型 邊緣人工智能(AI)處理的領(lǐng)先
    的頭像 發(fā)表于 01-31 10:20 ?382次閱讀
    Hailo-8 AI加速<b class='flag-5'>器</b>與瑞薩R-Car <b class='flag-5'>SoC</b>為知行科技的iDC High<b class='flag-5'>域控制器</b>賦能

    關(guān)于域控制器的基礎(chǔ)知識(shí)分享

    域控制器存儲(chǔ)和管理用戶賬號(hào)、密碼策略、組策略以及其他安全相關(guān)的信息。它允許用戶通過認(rèn)證獲得對域內(nèi)資源的訪問權(quán)限。用戶登錄到域中的計(jì)算機(jī)時(shí),域控制器會(huì)驗(yàn)證用戶的身份,并授予相應(yīng)的權(quán)限。
    的頭像 發(fā)表于 01-24 16:31 ?826次閱讀

    上汽飛凡R7智聯(lián)域控制器模塊TBOX的拆解分析

    本專欄將介紹智能汽車控制器的拆解分析,為讀者呈現(xiàn)最新的量產(chǎn)控制器的參考設(shè)計(jì)及選型方案。今天為大家分享的是上汽飛凡R7的智聯(lián)域控制器模塊-TB
    的頭像 發(fā)表于 01-23 10:29 ?4643次閱讀
    上汽飛凡R7智聯(lián)<b class='flag-5'>域控制器</b>模塊TBOX的拆解分析

    禾多自動(dòng)駕駛域控制器智能前視相機(jī)將搭載新車量產(chǎn)落地

    12月20日,奇瑞集團(tuán)高端品牌星途旗下全新純電轎車星紀(jì)元ES正式上市,開啟奇瑞高端新能源戰(zhàn)略全新階段。禾多科技自主研發(fā)的HoloArk 1.0自動(dòng)駕駛域控制器、HoloIFC 2.0智能前視相機(jī)將同步搭載新車量產(chǎn)落地,以「軟硬一
    的頭像 發(fā)表于 12-25 14:21 ?787次閱讀

    汽車五大域控制器有哪些?汽車域控制器和ecu的區(qū)別

    汽車五大域控制器通常指車身電子、底盤電子、發(fā)動(dòng)機(jī)電子、車載娛樂和信息、輔助駕駛等五個(gè)領(lǐng)域的控制器。
    發(fā)表于 11-23 17:06 ?6946次閱讀

    將成“標(biāo)配”?自動(dòng)駕駛域控制器深度解析

    、大陸等Tier 1企業(yè)認(rèn)為,以域?yàn)閱挝坏腄CU (域控制器)集成化架構(gòu)是最佳解決方案。 自動(dòng)駕駛系統(tǒng)架構(gòu) 博世經(jīng)典的五域分類將整車分為動(dòng)力域、底盤域、座艙域/智能信息域、自動(dòng)駕駛域和
    的頭像 發(fā)表于 11-16 14:52 ?1609次閱讀
    將成“標(biāo)配”?自動(dòng)<b class='flag-5'>駕駛</b><b class='flag-5'>域控制器</b>深度解析