0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

英特爾代工合作伙伴為EMIB先進封裝技術(shù)提供參考流程

looger123 ? 來源:looger123 ? 作者:looger123 ? 2024-07-09 16:32 ? 次閱讀

在摩爾定律的旅程中,先進封裝技術(shù)正發(fā)揮著越來越重要的作用,通過堆疊技術(shù)的創(chuàng)新,可以在單個設(shè)備中集成更多的晶體管。目前的大多數(shù)芯片都采用了異構(gòu)架構(gòu)設(shè)計,先進封裝技術(shù)也讓設(shè)備中采用不同制程技術(shù)、來自不同廠商、執(zhí)行不同功能的芯粒能夠在一起妥善工作,從而提高性能并降低功耗。

EMIB(嵌入式多芯片互連橋接)是英特爾的一種2.5D先進封裝技術(shù),支持把不同的芯片放在同一塊平面上相互連接。傳統(tǒng)的2.5D封裝是在芯片和基板間的硅中介層上進行布線,EMIB則是通過一個嵌入基板內(nèi)部的單獨芯片完成互連。

wKgZomaM9bOAbjkJAAaF8rsD3c8495.png

作為一種高成本效益的方法,EMIB簡化了設(shè)計流程,并帶來了設(shè)計靈活性。EMIB技術(shù)已在英特爾自己的產(chǎn)品中得到了驗證,如第四代英特爾?至強?處理器、至強6處理器和英特爾Stratix?10 FPGA。代工客戶也對EMIB技術(shù)越來越感興趣。

為了讓客戶能夠利用這項技術(shù),英特爾代工正積極與EDA和IP伙伴合作,確保他們的異構(gòu)設(shè)計工具、流程、方法以及可重復(fù)使用的IP塊都得到了充分的啟用和資格認(rèn)證。Ansys、Cadence、Siemens和Synopsys已宣布,為英特爾EMIB先進封裝技術(shù)提供參考流程:

●Ansys正在與英特爾代工合作,以完成對EMIB技術(shù)熱完整性、電源完整性和機械可靠性的簽發(fā)驗證,范圍涵蓋先進制程節(jié)點和不同的異構(gòu)封裝平臺。

●Cadence宣布,完整的EMIB 2.5D封裝流程,用于Intel 18A的數(shù)字和定制/模擬流程,以及用于Intel 18A的設(shè)計IP均已可用。

●Siemens宣布將向英特爾代工客戶開放EMIB參考流程,此前,Siemens還宣布了面向Intel 16、Intel 3和Intel 18A節(jié)點的Solido?模擬套件驗證。

●Synopsys宣布為英特爾代工的EMIB先進封裝技術(shù)提供AI驅(qū)動的多芯片參考流程,以加速多芯片產(chǎn)品的設(shè)計開發(fā)。

IP和EDA生態(tài)系統(tǒng)對任何代工業(yè)務(wù)都至關(guān)重要,英特爾代工一直在努力打造強大的代工生態(tài)系統(tǒng),并將繼續(xù)通過代工服務(wù)讓客戶能夠更輕松、快速地優(yōu)化、制造和組裝其SOC(系統(tǒng)級芯片)設(shè)計,同時為其設(shè)計人員提供經(jīng)過驗證的EDA工具、設(shè)計流程和IP組合,以實現(xiàn)硅通孔封裝設(shè)計。

在AI時代,芯片架構(gòu)越來越需要在單個封裝中集成多個CPUGPU和NPU以滿足性能要求。英特爾的系統(tǒng)級代工能夠幫助客戶在堆棧的每一層級進行創(chuàng)新,從而滿足AI時代復(fù)雜的計算需求,加速推出下一代芯片產(chǎn)品。

審核編輯 黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9820

    瀏覽量

    171131
  • 封裝
    +關(guān)注

    關(guān)注

    126

    文章

    7653

    瀏覽量

    142473
  • EMIB
    +關(guān)注

    關(guān)注

    0

    文章

    12

    瀏覽量

    3899
收藏 人收藏

    評論

    相關(guān)推薦

    四家公司英特爾EMIB先進封裝技術(shù)提供參考流程

    在摩爾定律的旅程中,先進封裝技術(shù)正發(fā)揮著越來越重要的作用,通過堆疊技術(shù)的創(chuàng)新,可以在單個設(shè)備中集成更多的晶體管。目前的大多數(shù)芯片都采用了異構(gòu)架構(gòu)設(shè)計,
    的頭像 發(fā)表于 08-16 15:20 ?511次閱讀

    新思科技面向英特爾代工推出可量產(chǎn)的多裸晶芯片設(shè)計參考流程,加速芯片創(chuàng)新

    英特爾代工(Intel Foundry)的EMIB先進封裝技術(shù),可提升異構(gòu)集成的結(jié)果質(zhì)量; 新思
    發(fā)表于 07-09 13:42 ?741次閱讀

    英特爾攜手日企加碼先進封裝技術(shù)

    英特爾公司近日在半導(dǎo)體技術(shù)領(lǐng)域再有大動作,加碼先進封裝技術(shù),并與14家日本企業(yè)達(dá)成深度合作。此次
    的頭像 發(fā)表于 06-11 09:43 ?353次閱讀

    Cadence與Intel代工合作通過EMIB封裝技術(shù)實現(xiàn)異構(gòu)集成

    Cadence 與 Intel 代工合作開發(fā)并驗證了一項集成的先進封裝流程。該流程能利用嵌入式
    的頭像 發(fā)表于 03-11 11:48 ?722次閱讀

    Ansys和英特爾代工合作開發(fā)多物理場簽核解決方案

    Ansys攜手英特爾代工,共同打造2.5D芯片先進封裝技術(shù)的多物理場簽核解決方案。此次合作,將借
    的頭像 發(fā)表于 03-11 11:24 ?577次閱讀

    新思科技與英特爾深化合作加速先進芯片設(shè)計

    近日,新思科技與英特爾宣布深化合作,共同加速先進芯片設(shè)計的步伐。據(jù)悉,新思科技的人工智能驅(qū)動的數(shù)字和模擬設(shè)計流程已經(jīng)成功通過英特爾
    的頭像 發(fā)表于 03-06 10:33 ?557次閱讀

    英特爾首推面向AI時代的系統(tǒng)級代工

    英特爾宣布全新制程技術(shù)路線圖、客戶及生態(tài)伙伴合作,以實現(xiàn)2030年成全球第二大代工廠的目標(biāo)。
    的頭像 發(fā)表于 02-26 15:41 ?318次閱讀
    <b class='flag-5'>英特爾</b>首推面向AI時代的系統(tǒng)級<b class='flag-5'>代工</b>

    英特爾首推面向AI時代的系統(tǒng)級代工英特爾代工

    英特爾首推面向AI時代的系統(tǒng)級代工——英特爾代工(Intel Foundry),在技術(shù)、韌性和可持續(xù)性方面均處于領(lǐng)先地位。
    的頭像 發(fā)表于 02-25 10:38 ?460次閱讀
    <b class='flag-5'>英特爾</b>首推面向AI時代的系統(tǒng)級<b class='flag-5'>代工</b>—<b class='flag-5'>英特爾</b><b class='flag-5'>代工</b>

    英特爾推出面向AI時代的系統(tǒng)級代工,并更新制程技術(shù)路線圖

    英特爾公司近日宣布,將推出全新的系統(tǒng)級代工服務(wù)——英特爾代工(Intel Foundry),以滿足AI時代對先進制程
    的頭像 發(fā)表于 02-23 18:23 ?1434次閱讀

    Sarcina Technology加入英特爾聯(lián)盟

    來源:Silicon Semiconductor 《半導(dǎo)體芯科技》編譯 Sarcina Technology是一家致力于提供領(lǐng)先的特定應(yīng)用高級封裝服務(wù)(ASAP)的公司,加入了英特爾代工
    的頭像 發(fā)表于 02-05 12:05 ?343次閱讀

    英特爾實現(xiàn)先進半導(dǎo)體封裝技術(shù)芯片的大規(guī)模生產(chǎn)

    當(dāng)前,由于整個半導(dǎo)體產(chǎn)業(yè)步入將多個‘芯?!–hiplets)整合于單一封裝的新世代,芬柯斯(Foveros)與 EMIB(嵌入式多芯片互聯(lián)橋接)等英特爾先進
    的頭像 發(fā)表于 01-25 14:47 ?645次閱讀

    英特爾實現(xiàn)3D先進封裝技術(shù)的大規(guī)模量產(chǎn)

    英特爾宣布已實現(xiàn)基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括英特爾突破性的3D封裝技術(shù)Foveros,該
    的頭像 發(fā)表于 01-25 14:24 ?249次閱讀

    制程架構(gòu)并駕齊驅(qū),軟件硬件雙核驅(qū)動 英特爾攜手合作伙伴兌現(xiàn)AI PC承諾

    處理器及其打造的AI PC,以及英特爾與操作系統(tǒng)廠商、獨立軟件開發(fā)商獨立硬件開發(fā)商等合作伙伴在PC軟硬件方面的創(chuàng)新,進行了更加深入和細(xì)致的分享。 ? ? ? ?英特爾執(zhí)行副總裁兼客戶端計算事業(yè)部總經(jīng)理
    的頭像 發(fā)表于 01-10 11:11 ?295次閱讀
    制程架構(gòu)并駕齊驅(qū),軟件硬件雙核驅(qū)動 <b class='flag-5'>英特爾</b>攜手<b class='flag-5'>合作伙伴</b>兌現(xiàn)AI PC承諾

    Valens與英特爾合作開發(fā)下一代A-PHY產(chǎn)品

    Valens與英特爾代工服務(wù)部門共同宣布,英特爾代工服務(wù)部門將利用其先進的制程技術(shù),生產(chǎn)Vale
    的頭像 發(fā)表于 01-09 14:30 ?552次閱讀

    英特爾2月21日發(fā)布新工藝路線圖,或?qū)⒁隦ibbonFET環(huán)柵晶體管?

    英特爾對此次活動的定位如下: “誠摯邀請您傾聽英特爾高層精英、技術(shù)專才以及各方合作伙伴深度解讀我們的戰(zhàn)略布局、卓越工藝技術(shù)、尖端
    的頭像 發(fā)表于 01-05 09:40 ?623次閱讀