0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA軟件Efinity入門(mén)使用-v7

XL FPGA技術(shù)交流 ? 來(lái)源:XL FPGA技術(shù)交流 ? 作者:XL FPGA技術(shù)交流 ? 2024-10-21 18:55 ? 次閱讀
一、 軟件預(yù)設(shè)置二、新建工程三、添加源文件四、添加管腳約束五、添加GPIO六、PLL設(shè)置 七、IPM添加IP八、添加debug九、下載十、仿真 十一、查看軟件版本 一、軟件預(yù)設(shè)置。5845f686-8c1c-11ef-a79e-92fbcf53809c.png586b9ad0-8c1c-11ef-a79e-92fbcf53809c.png ?
選項(xiàng) 說(shuō)明
Usereditor 一般軟件自帶的編輯器功能有限,而外部編輯器功能要強(qiáng)大很多。所以建議大家使用外部編輯器。在User editor中輸入編輯器的路徑。
Use user editor as default editor for all files。 如果希望每次點(diǎn)擊文件時(shí)是通過(guò)外部編輯器打開(kāi)的,可以勾選Use user editor as default editor for all files。反之,如果希望使用自帶編輯器打開(kāi)則不用勾選。
Top level project path 指定新建工程目錄。
Enable flow data integrity check
Open last project on startup 如果勾選此選擇,會(huì)打開(kāi)上次關(guān)閉的工程
openfile usingdefault system application
Use lastwindow layoutsetting 使用上次軟件關(guān)閉時(shí)的窗口布局。
Auto-correct Tcl command 在tcl命令窗口中輸入命令時(shí)可以自動(dòng)修改存在的錯(cuò)誤。
Auto-loadPlace andRoute data 打開(kāi)軟件是自動(dòng)加載布局布線的數(shù)據(jù)。建議關(guān)閉。
Migrate interfacedesign withdevicechange 修改器件時(shí),interface desiger中的配置也要修改。
EnableIP upgrades prompt on project load 用新版本打開(kāi)老的軟件建的工程時(shí),打開(kāi)該選項(xiàng)會(huì)提示IP需要更新,如果不想更新IP可以關(guān)閉這個(gè)選項(xiàng)。

點(diǎn)擊preference,把Auto-load Place and route data前面的對(duì)勾去掉。其目的是為了防止軟件打開(kāi)工程時(shí)加載時(shí)間太長(zhǎng)。如果需要加載數(shù)據(jù)可以點(diǎn)擊Load Place and Route Data

588b64b4-8c1c-11ef-a79e-92fbcf53809c.png

二、新建工程

Step1:點(diǎn)擊設(shè)置

Step2:在Top level project path中輸入路徑

Step3:點(diǎn)擊File -> Open Project,路徑會(huì)指向step2中設(shè)置的路徑

注意:易靈思的工程名為.xml,而不是.peri.xml,.peri.xml用于存放interface designer中的參數(shù)設(shè)置。

58acf980-8c1c-11ef-a79e-92fbcf53809c.png

Stp1:File -->Create ProjectStp2:在Project Editor中選擇路徑并輸入工程名Stp3:選擇器件(家族)及速率等級(jí) 58d708ce-8c1c-11ef-a79e-92fbcf53809c.png輸入top module/Entity注意:如果沒(méi)有輸入top module名,軟件會(huì)自己選擇top module,編輯結(jié)果不正確。58ed7c12-8c1c-11ef-a79e-92fbcf53809c.png

把retiming和seq_opt設(shè)置為0

5915d680-8c1c-11ef-a79e-92fbcf53809c.png

點(diǎn)擊ok,新建工程完成。

三、添加源文件

方法1:選擇Design右擊,點(diǎn)擊Create方法2:自己建立文件,添加文件到工程:Stp1:選擇Design右擊,點(diǎn)擊Add59356590-8c1c-11ef-a79e-92fbcf53809c.png方法1:選擇Design右擊,點(diǎn)擊Add方法2:Project Editoràadd file Efinity還可以添加整個(gè)文件夾的文件,如圖選copy to project

5958dbc4-8c1c-11ef-a79e-92fbcf53809c.png

四、管腳約束

這里我們以一個(gè)LED點(diǎn)燈為例,代碼如下:

5981f770-8c1c-11ef-a79e-92fbcf53809c.png

Efinty是通過(guò)interface designer工具來(lái)設(shè)置IO等和外設(shè)相關(guān)的接口電氣屬性的。Interface designer操作界面的打開(kāi)通過(guò)下面的Open Interface Desinger來(lái)實(shí)現(xiàn)。

59a55cc4-8c1c-11ef-a79e-92fbcf53809c.png

Core 與interface的關(guān)系

Eifinty采用的把邏輯資源和硬核資源分開(kāi)的架構(gòu),代碼部分只針對(duì)邏輯資源,也就是我們這里提到的Core,而IO及其他硬核部分的配置在interface Designer工具中。下圖是interface與Core的關(guān)系,它們之間通過(guò)被稱(chēng)作Siganl Interface的連線資源實(shí)現(xiàn)互聯(lián)。

因?yàn)榱?xí)慣問(wèn)題,使用者在最初一定會(huì)存在一些問(wèn)題,但是習(xí)慣之后它也是有不少優(yōu)勢(shì)存在的。比如說(shuō),在前期的硬件設(shè)計(jì)中,只需要在Interface Designer中添加已添加的IO及其他需要的硬件接口,并通過(guò)一鍵檢測(cè)就可以很清楚的知道與外設(shè)的連接是否合理,不需要考慮內(nèi)部因?yàn)闆](méi)有完整的程序而可能被優(yōu)化的風(fēng)險(xiǎn)。另外有些interface的選項(xiàng)在修改之后可以不需要先編譯而直接生成數(shù)據(jù)流。

59c09ad4-8c1c-11ef-a79e-92fbcf53809c.png

interface與core的關(guān)系

59d9f7d6-8c1c-11ef-a79e-92fbcf53809c.png

interface界面

Bank電壓的設(shè)置

告訴軟件FPGA目前的bank電壓,硬件電路的bank電壓要和interface中的一致,如果電壓設(shè)置不一致可能存在長(zhǎng)時(shí)間運(yùn)行致使芯片失效。設(shè)置位置在Device Setting -->I/O Banks

5a0cb216-8c1c-11ef-a79e-92fbcf53809c.png

五 添加GPIO

Step1:右鍵選擇GPIO

Step2:根據(jù)選擇的是單線還是多線來(lái)選擇Create Block還是Create Bus

Step3:編輯IO屬性,IO屬性如下:

選項(xiàng)

選擇

說(shuō)明

Mode

Input,
output,
inout,
clkout

Input:把FPGA管腳設(shè)置為輸入;

Output:把FPGA管腳設(shè)置為輸出;

Inout:把FPGA管腳設(shè)置為雙向管腳;

Clkout:把FPGA管腳設(shè)置為時(shí)鐘輸出

Connection Type

Normal,gclk,pll_clkin,VREF

gclk走全局時(shí)鐘網(wǎng)線,可以驅(qū)動(dòng)PLL也可以直接驅(qū)動(dòng)內(nèi)部邏輯

用于普通的GPIO;

PLL_CLKIN表示這個(gè)IO是用于驅(qū)動(dòng)PLL的;

用于存儲(chǔ)器的參考管腳

Register Option

None,register

是否添加IO寄存器,推薦添加。

I/O Standard

3.3v,1.8v,1.2V,1.5v

設(shè)置IO的電平

Double Data I/O Option

None,normal,resync

是否設(shè)置IO為雙延采樣

Clock

當(dāng)打開(kāi)IO寄存器時(shí)需要添加指定寄存器的時(shí)鐘

Drive Strength

1,2,3,4

設(shè)置輸出IO的驅(qū)動(dòng)能力

Enable Slew Rate

Yes,no

是否命名能slew rate

5a268240-8c1c-11ef-a79e-92fbcf53809c.png右鍵添加GPIO 針對(duì)上面的工程我們的參數(shù)設(shè)置如下:(1)Mode 設(shè)置為input(2) I/O Standard根據(jù)所在的Bank來(lái)選擇電壓Instacne Name: clkConnection Type : pll_clkin5a51665e-8c1c-11ef-a79e-92fbcf53809c.png以arst_n為例 :Mode : inputI/O standard :根據(jù)所在bank及bank電壓設(shè)置Connection Type: normalRegister Option: none5a792f54-8c1c-11ef-a79e-92fbcf53809c.png 以4位輸出的led為例:(1)Name :o_led(3)位寬從3到0Mode: output5a9573ee-8c1c-11ef-a79e-92fbcf53809c.png對(duì)于總線信號(hào)想要再次編輯信號(hào)屬性時(shí),需要點(diǎn)擊右側(cè)的Editbus property,

5ab4917a-8c1c-11ef-a79e-92fbcf53809c.png

如果單獨(dú)編輯某個(gè)信號(hào)屬性是不能編輯的。如下圖所示。

5ad92c6a-8c1c-11ef-a79e-92fbcf53809c.png

IO分配5af84c62-8c1c-11ef-a79e-92fbcf53809c.png點(diǎn)擊Show/Hide GPIO Resource Assigner,在Package Pin或者Resoure位置輸入管腳。

5b19d706-8c1c-11ef-a79e-92fbcf53809c.png

檢測(cè)Interface設(shè)計(jì)是否存在問(wèn)題。

5b3b8194-8c1c-11ef-a79e-92fbcf53809c.png

保存設(shè)置,點(diǎn)擊Check Design,檢查interface是否存在問(wèn)題。

再點(diǎn)Generate Efinity Constraint Files,我們就可以在Result --> interface下面看到生成一些文件。通過(guò)xxx_template.v復(fù)制例化接口

5b615626-8c1c-11ef-a79e-92fbcf53809c.png

六、PLL設(shè)置PLL是FPGA內(nèi)部常用的配置項(xiàng)。Ti60F225有4個(gè)PLL,如下圖所示,分別為PLL_BL,PLL_TL,PLL_TR和PLL_BR。
Instance Name 用戶(hù)定義
PLLResourec
Output ClockInversion on,off 翻轉(zhuǎn)時(shí)鐘輸出
ConnectionType

gclk,

rclk

時(shí)鐘類(lèi)型
Clock Source

External,

Dynamic,Core

External指時(shí)鐘通過(guò)IO驅(qū)動(dòng);Dynamic:支持多路時(shí)鐘選擇;Core:時(shí)鐘通參考通過(guò)core供給

Automated clock

Calculation

打開(kāi)時(shí)鐘計(jì)算和設(shè)置窗口

5b839ed4-8c1c-11ef-a79e-92fbcf53809c.png

針對(duì)上面的工程,我們的參數(shù)設(shè)置如下:右擊PLL ->add BlockInstance Name:根據(jù)需要輸入PLL Resource:PL_TR0Clock Source: external,core,dynamicExternal Clock :External Clock

5bb559d8-8c1c-11ef-a79e-92fbcf53809c.png

七、通過(guò)IPM添加IP 點(diǎn)擊Open IP Catlog,

5bd11da8-8c1c-11ef-a79e-92fbcf53809c.png

里面有一些常用的IP,但是要注意的是這些都是軟件核的IP,所有硬核的IP都是通過(guò) InterfaceDesigner來(lái)添加的。

5bed9ac8-8c1c-11ef-a79e-92fbcf53809c.png

八 添加約束

添加約束的目的是為了告訴FPGA你的設(shè)計(jì)指標(biāo)及運(yùn)行情況。在上面的生成約束之后,在Result àxx.sdc中提供約束參考(請(qǐng)注意該文件不能直接添加到工程中,需要熱復(fù)制到別的指定目錄),對(duì)于gclk時(shí)鐘需要手動(dòng)添加約束的時(shí)鐘周期,對(duì)于PLL生成的時(shí)鐘已經(jīng)約束完整。

編譯完成之后可以查看時(shí)序報(bào)告,也可以通過(guò)routing àxx.timing.rpt來(lái)查看路徑詳細(xì)延時(shí)信息如果想查看更可以通過(guò)指令來(lái)打印或者通過(guò)print_critical_path來(lái)控制打印的路徑數(shù)量 。

5c194c5e-8c1c-11ef-a79e-92fbcf53809c.png

5c3322c8-8c1c-11ef-a79e-92fbcf53809c.png

編譯

點(diǎn)擊dashboard中Toggle Automated Flow來(lái)設(shè)置是單步還是全程編譯(暗色是單步),下面是綜合,布局,布線,生成數(shù)據(jù)流,stop的相應(yīng)按鍵。軟件左下角會(huì)的編譯進(jìn)行提示編譯進(jìn)程。

5c57cc90-8c1c-11ef-a79e-92fbcf53809c.png

八添加debug

8.1通過(guò)向?qū)砑觗ebug

點(diǎn)擊Open Debugger Wizard

(1)設(shè)置Buffer Depth

(2)Input Pipeline Stage

(3)Capture control

(4)JTAG USER TAP

(5)修改時(shí)鐘域

(6)Probe Type

5c76fd18-8c1c-11ef-a79e-92fbcf53809c.png

5c91fdf2-8c1c-11ef-a79e-92fbcf53809c.png

8.2手動(dòng)debug

手動(dòng)debug的方式就是自己一個(gè)個(gè)添加debug的信號(hào) ,當(dāng)然這種方式也可以添加 VIO,VIO可以通過(guò)JTAG產(chǎn)生一些控制信號(hào)。手動(dòng)添加debug的方式如下。

step1:點(diǎn)擊OpenDebugger打開(kāi)Efinity Debugger頁(yè)面,在Perpectives下面選擇Profile Editor.

5cb19324-8c1c-11ef-a79e-92fbcf53809c.png

Step2:根據(jù)需要選擇添加VIO或者LA.

5cd46c6e-8c1c-11ef-a79e-92fbcf53809c.png

Step3: 以添加LA為例,點(diǎn)擊右側(cè)的add_probe來(lái)添加需要的信號(hào),然后在Name中修改信號(hào)名字,Width中指定信號(hào)位寬及Probe Trigger or Data中設(shè)置信號(hào)的觸發(fā)屬性。如果要?jiǎng)h除信號(hào)就點(diǎn)擊右側(cè)的帶“X"的remove Probe.

另外可以指定Data Depth,也就是數(shù)據(jù)采樣深度,Input Pipeline Stage對(duì)可以數(shù)據(jù)打拍,用于優(yōu)化時(shí)序。

5cf2cb8c-8c1c-11ef-a79e-92fbcf53809c.png

step4 添加VIO。根據(jù)需要選擇vio界面的add source和add probe 來(lái)添加自己需要的信號(hào)。如果要?jiǎng)h除信號(hào)則選擇remove source/probe.

5d211dca-8c1c-11ef-a79e-92fbcf53809c.png

step5:點(diǎn)擊Generate,會(huì)在工程目錄下就會(huì)生成一個(gè)debug_top.v,把該文件添加到工程并例化。效果如下。

5d4f1cb6-8c1c-11ef-a79e-92fbcf53809c.png

step6.添加JTAG。打開(kāi)interface Designer,右擊選擇JTAG User Tap,添加JTAG Block,并指定JTAG resource,如下圖中選擇JTAG user1.然后生成約束例化信號(hào)。

5d6bb678-8c1c-11ef-a79e-92fbcf53809c.png

5d9a51e0-8c1c-11ef-a79e-92fbcf53809c.png

注意通過(guò)向?qū)砑覦ebugger和通過(guò)手動(dòng)添加debuger這兩種方式不能共存。另外要注意在interface Designer中添加了User Tap之后,在添加向?qū)r(shí)要選擇不同的User Tap號(hào),否則會(huì)提示接口有占用。如下圖指示“ERROR: jtage resource = JTAG USER1 has been occupied"。

5dbd6536-8c1c-11ef-a79e-92fbcf53809c.png

8.3 在線調(diào)試

該步驟需要在配置FPGA之后再能操作。

觸發(fā)條件的設(shè)置

捕獲設(shè)置:

?觸發(fā)位置

?分段數(shù)量

?窗口嘗試

5dda8530-8c1c-11ef-a79e-92fbcf53809c.png

8.4 關(guān)閉debug如果調(diào)試完成,想要關(guān)閉debug可以通過(guò)Project Editor --> Debugger下面的Debugger Auto Instantiation選項(xiàng),去掉勾選并點(diǎn)擊OK.

5e07c7de-8c1c-11ef-a79e-92fbcf53809c.png

如果關(guān)閉成功會(huì)”Debugger was disabled. Please rerun the flow start from placement"的指示框。如果沒(méi)有出現(xiàn)則沒(méi)關(guān)關(guān)閉成功,需要重啟Efinity軟件再次關(guān)閉一次。

5e239ce8-8c1c-11ef-a79e-92fbcf53809c.png

8.5 Gtkwave界面 有些時(shí)間我們會(huì)發(fā)現(xiàn)Gtkwave界面的信號(hào)不全,比如下圖,mode信號(hào)沒(méi)有添加到右側(cè)的波形窗口,這時(shí)選擇SST窗口下的top就會(huì)把所有信號(hào)列出來(lái),選擇相應(yīng)的信號(hào),點(diǎn)擊insert就可以插入。

5e3fcab2-8c1c-11ef-a79e-92fbcf53809c.png

另外在2024的版本中,打開(kāi)一次波界面之后可以不用關(guān)閉直接點(diǎn)擊Run等按鍵即可以刷新波形。

5e5ceb42-8c1c-11ef-a79e-92fbcf53809c.png

九 配置FPGA配置方式.易靈思的FPGA支持以下幾種配置方式。
主動(dòng)模式(SPI Active)— AS模式 通過(guò)SPI專(zhuān)用插座在線燒寫(xiě)FLASH,F(xiàn)LASH離線燒寫(xiě)好了再焊接,FPGA自己主動(dòng)通過(guò)從非易失性的SPI FLASH讀取bit流進(jìn)行加載,支持X1 X2 X4,x8(不同的FPGA支持的位寬有區(qū)別)
被動(dòng)模式(SPI Passive)— PS模式 上位機(jī)或者MCU通過(guò)SPI接口向FPGA發(fā)送bit流文件,對(duì)FPGA進(jìn)行加載?支持X1 X2 X8 X16 X32
JTAG模式 上位機(jī)通過(guò)JTAG口將bit流文件發(fā)送到FPGA,對(duì)FPGA進(jìn)行加載
SPI Active using JTAG bridge — Bridge模式 通過(guò)FPGA的JTAG口燒寫(xiě)和FPGA連接的SPI FLASH
另外需要注意JTAG配置使用bit文件,Flash配置使用hex文件,配置過(guò)程中需要注意讀取正常的ID,燒寫(xiě)flash可以勾去VerIfy After Programming節(jié)省時(shí)間5e7306b6-8c1c-11ef-a79e-92fbcf53809c.png5e89533a-8c1c-11ef-a79e-92fbcf53809c.png

十 仿真

易靈思為所有IP提供了仿真模型

以FIFO為例,在工具欄中選擇IP Catalog

Open IP Callog ->Memory ->FIFO->以默認(rèn)參數(shù)生成IP找到IP生成路徑下的Testbench文件夾。把modelsim路徑轉(zhuǎn)向該文件夾(注意路徑方向“/”)運(yùn)行do sim.do5eb5fae8-8c1c-11ef-a79e-92fbcf53809c.png

另外 關(guān)于interfce Designer接口的仿真模型在路徑C:Efinity2023.1ptsim_modelsVerilog下。

5ed5ea42-8c1c-11ef-a79e-92fbcf53809c.png

十一、查看軟件版本

5f0449a0-8c1c-11ef-a79e-92fbcf53809c.png

在Help ->About。打開(kāi)軟件詳細(xì)版本,由于軟件存在很多補(bǔ)丁,所說(shuō)說(shuō)明版本時(shí),要給出完整的版本號(hào)。

當(dāng)軟件版本號(hào)不對(duì)應(yīng)時(shí)可能不打開(kāi)軟件,因?yàn)榈桶姹静荒芗嫒莞甙姹尽?/p>

5f284ae4-8c1c-11ef-a79e-92fbcf53809c.png

另外peri.xml里面也是有版本號(hào)的,當(dāng)軟件打開(kāi)不開(kāi)的時(shí)候可以對(duì)比安裝的軟件版本號(hào)與工程開(kāi)發(fā)用的版本號(hào)是否存在不兼容。

5f445d60-8c1c-11ef-a79e-92fbcf53809c.png

廣告時(shí)間

5f5cf654-8c1c-11ef-a79e-92fbcf53809c.png


聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600449
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    S2C發(fā)布最新ASIC原型驗(yàn)證平臺(tái)Quad V7

    S2C Inc.今日宣布將最新的原型驗(yàn)證平臺(tái)Quad V7加入其V7 TAI Logic Module系列。Quad V7 是基于Xilinx Virtex-7 2000T可編程3D
    發(fā)表于 01-23 11:28 ?2230次閱讀

    國(guó)產(chǎn)FPGA應(yīng)用專(zhuān)題--易靈思Efinity軟件使用心得

    做為FPGA的集成開(kāi)發(fā)環(huán)境,不同的廠家其實(shí)大同小異。很多國(guó)產(chǎn)廠家,如安路,高云,會(huì)在軟件上貼近Xilinx和Intel,以節(jié)省客戶(hù)的軟件使用成本。而國(guó)產(chǎn)廠商的易靈思的集成開(kāi)發(fā)環(huán)境Efinity
    的頭像 發(fā)表于 04-23 15:38 ?1822次閱讀
    國(guó)產(chǎn)<b class='flag-5'>FPGA</b>應(yīng)用專(zhuān)題--易靈思<b class='flag-5'>Efinity</b><b class='flag-5'>軟件</b>使用心得

    XILINX V7系列FPGA的的BPI FLASH程序下載問(wèn)題咨詢(xún)

    各路大神你們好!我想向各位咨詢(xún)下:當(dāng)采用BPI模式配置Xilinx V7系列FPGA時(shí),程序是如何下載到BPI FLASH中去的?我看了V7的配置技術(shù)手冊(cè),發(fā)現(xiàn)里面只介紹了一種間接編程方式:由
    發(fā)表于 08-26 15:34

    有沒(méi)有人用jlink v7,有沒(méi)有參考資料,淘寶上已經(jīng)沒(méi)賣(mài)jlink v7的了

    有沒(méi)有人用jlink v7,有沒(méi)有參考資料,淘寶上已經(jīng)沒(méi)賣(mài)jlink v7
    發(fā)表于 05-27 14:53

    卡西歐ex v7用戶(hù)使用說(shuō)明書(shū)

    卡西歐ex v7用戶(hù)使用說(shuō)明書(shū)
    發(fā)表于 01-14 00:01 ?33次下載
    卡西歐ex <b class='flag-5'>v7</b>用戶(hù)使用說(shuō)明書(shū)

    ICC AVR V7C編譯軟件

    iccavr v7是一款很不錯(cuò)的AVR單片機(jī)C編譯軟件,很適合初學(xué)者學(xué)習(xí)和使用。
    發(fā)表于 07-15 15:32 ?139次下載

    V919 AIR V7版本刷機(jī)教程_For_Android

    V919 AIR V7版本刷機(jī)教程_For_Android是一個(gè)不可多得的東東。希望這文件對(duì)朋友有作用,謝謝了。
    發(fā)表于 11-13 11:48 ?0次下載

    QuadE V7快速原型驗(yàn)證產(chǎn)品系列

    加利福尼亞州,圣何塞 - 2014年2月25日 - 業(yè)界領(lǐng)先的SoC/ASIC快速原型解決方案提供商S2C Inc.于今日宣布,在其基于FPGA的原型平臺(tái)V7 TAI Logic Module系列
    的頭像 發(fā)表于 02-11 14:27 ?3504次閱讀

    驍龍845助力美圖V7驚艷亮相

    6月份美圖T9在頤和園的驚艷亮相,俘獲了眾多明星、自拍達(dá)人的心。今天,美圖手機(jī)的新產(chǎn)品——美圖V7,會(huì)讓你再次愛(ài)上美圖手機(jī)!
    的頭像 發(fā)表于 12-23 10:24 ?3208次閱讀

    美圖V7高清圖賞

    1月8日下午,美圖手機(jī)正式發(fā)布美圖V7標(biāo)準(zhǔn)版,搭載驍龍845處理器,全球首創(chuàng)的前置三攝配置,支持“前置AI夜拍美顏”“3D凝時(shí)相機(jī)”“閉眼修復(fù)”等攝影功能。
    的頭像 發(fā)表于 01-30 09:25 ?3570次閱讀

    美圖V7全新配色花園粉蝶正式上架搭載了驍龍845頂級(jí)移動(dòng)芯片

    在此之前,美圖V7僅有赤霞橙光、綠境之夢(mèng)兩款配色版本(8GB+128GB),售價(jià)4799元,少女們喜歡的粉色卻遲遲沒(méi)有推出。而紅白相間配色的美圖V7托尼洛蘭博基尼限量版(8GB+256GB)售價(jià)高達(dá)6799元,此次美圖V7花園粉
    發(fā)表于 02-12 16:48 ?1542次閱讀

    美圖V7手機(jī)體驗(yàn)評(píng)測(cè) 性能最強(qiáng)的美圖手機(jī)

    美圖V7怎么樣?美圖手機(jī)在2018年底曾推出了旗下V系列的最新產(chǎn)品“美圖V7”,這也是美圖獨(dú)立研發(fā)的最后一款手機(jī)產(chǎn)品。那么,美圖V7究竟怎么樣?美圖
    的頭像 發(fā)表于 07-22 14:58 ?8721次閱讀

    美圖V7全面評(píng)測(cè) 一款沒(méi)有任何妥協(xié)的產(chǎn)品

    美圖V7評(píng)測(cè):早在去年11月份,就和小米“聯(lián)姻”。作為最后一款美圖自研的產(chǎn)品,能帶給我們哪些驚喜呢?美圖V7在自拍美顏方面的優(yōu)化怎么樣?
    的頭像 發(fā)表于 07-11 11:54 ?4172次閱讀

    lvgl 庫(kù) V7版本相關(guān)應(yīng)用

    在使用 lvgl 庫(kù)的時(shí)候,筆者使用的 V7 版本的庫(kù),使用過(guò)程中發(fā)現(xiàn)網(wǎng)上關(guān)于 V7 版本的資料非常少,官網(wǎng)有文檔介紹其如何使用,但有些方面...
    發(fā)表于 01-26 17:06 ?0次下載
    lvgl 庫(kù) <b class='flag-5'>V7</b>版本相關(guān)應(yīng)用

    ANO匿名上位機(jī)V7協(xié)議&STM32

    ANO匿名上位機(jī)V7協(xié)議&STM32 說(shuō)明:以下程序?yàn)樽约壕帉?xiě),若有誤歡迎各位指出。 基于ANO匿名V7上位機(jī)的通信協(xié)議編寫(xiě)的代碼文章目錄ANO匿名上位機(jī)V7協(xié)議&STM32 前言 一、Ano
    發(fā)表于 05-09 11:08 ?15次下載
    ANO匿名上位機(jī)<b class='flag-5'>V7</b>協(xié)議&STM32