0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado使用小技巧

FPGA技術(shù)驛站 ? 來源:FPGA技術(shù)驛站 ? 2024-10-24 15:08 ? 次閱讀

不用重新布局布線,更新時序約束,如何快速查看時序報告?

有時我們對時序約束進行了一些調(diào)整,希望能夠快速看到對應(yīng)的時序報告,而又不希望重新布局布線。這時,我們可以打開布線后的dcp,直接在Vivado Tcl Console里輸入更新后的時序約束。如果調(diào)整后的約束在之前版本中已存在,那么Vivado會給出警告信息,顯示這些約束會覆蓋之前已有的約束;如果是新增約束,那么就會直接生效。例如,我們需要把時鐘周期由10ns調(diào)整為8ns,就可以進行如下圖所示的操作:

bf207878-90a8-11ef-a511-92fbcf53809c.jpg

執(zhí)行上述命令后,就可以重新生成時序報告了。需要說明的是這種方法只是用來快速查看時序報告以評估時序性能。如果把調(diào)整后的時序約束添加到工程中重新布局布線,得到的結(jié)果很有可能跟這種方式看到的不一樣,這是因為布局布線本身是受時序驅(qū)動的。

如何查看當(dāng)前布線結(jié)果在更高速度等級芯片上的時序性能?

例如我們在速度等級為-2的芯片上完成了布局布線,需要進一步評估在速度等級為-3的芯片上時序裕量會有多大的提升,或者觀察一下在速度等級為-1的芯片上時序能否收斂。這時我們只需用Vivado打開布線后的dcp,只是在打開dcp時選擇芯片型號對應(yīng)的速度等級為-3,其余封裝保持不變,這需要借助Tcl命令open_checkpoint完成,如下圖所示。在此基礎(chǔ)上生成時序報告即可對比時序性能的變化。

bf3f9e56-90a8-11ef-a511-92fbcf53809c.jpg

bf5d00f4-90a8-11ef-a511-92fbcf53809c.jpg

如何查看當(dāng)前設(shè)計BITSTREAM屬性信息?

可以使用如下Tcl命令生成BITSTREAM屬性信息,需要打開布線后的網(wǎng)表文件。第1列為屬性名,第2列為屬性值的類型,第3列為屬性是否只讀,第4列為屬性值。

bf8a0284-90a8-11ef-a511-92fbcf53809c.jpg

bfa7361a-90a8-11ef-a511-92fbcf53809c.jpg

如果要知道屬性的默認(rèn)值,可采用如下圖所示的Tcl腳本。

bfc9e9c6-90a8-11ef-a511-92fbcf53809c.jpg

bfe65b24-90a8-11ef-a511-92fbcf53809c.jpg

Vivado 2023.1 Pblock大小不合規(guī)報錯怎么處理呢?

在Vivado 2023.1中,如果Pblock大小不合規(guī)就會報錯,如下圖所示。這其實是合理的,Vivado 2023.1及之后的版本都會如此處理。而2022.2及之前版本則不會報錯,那是因為工具會自動進行調(diào)整,但這種調(diào)整只是保證Pblock大小合法,并不能保證一定符合用戶預(yù)期。正因此,2023.1版本開始就更為嚴(yán)格了。

c01808c2-90a8-11ef-a511-92fbcf53809c.jpg

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50001

    瀏覽量

    419691
  • 時序約束
    +關(guān)注

    關(guān)注

    1

    文章

    115

    瀏覽量

    13396
  • 布局布線
    +關(guān)注

    關(guān)注

    1

    文章

    87

    瀏覽量

    15149
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    802

    瀏覽量

    66137

原文標(biāo)題:Vivado使用小技巧

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Vivado 實現(xiàn)

    先給大家簡單快速地介紹一下 Vivado 集成設(shè)計環(huán)境,即 IDE。當(dāng)打開 Vivado 工程后,會有一個工程概要,向您介紹工程的設(shè)置、警告和錯誤信息以及工程的一般狀態(tài)。
    發(fā)表于 04-25 09:00 ?6664次閱讀

    探索Vivado HLS設(shè)計流,Vivado HLS高層次綜合設(shè)計

    作者:Mculover666 1.實驗?zāi)康?通過例程探索Vivado HLS設(shè)計流 用圖形用戶界面和TCL腳本兩種方式創(chuàng)建Vivado HLS項目 用各種HLS指令綜合接口 優(yōu)化Vivado HLS
    的頭像 發(fā)表于 12-21 16:27 ?3518次閱讀

    Vivado 2017.1和Vivado 2016.4性能對比分析

    此篇文章里,我們將通過使用InTime來檢驗Vivado 2017.1和Vivado2016.4之間的性能對比。 概要:分別進行了3個Vivado 2017.1對Vivado2016.
    的頭像 發(fā)表于 07-04 11:23 ?1w次閱讀
    <b class='flag-5'>Vivado</b> 2017.1和<b class='flag-5'>Vivado</b> 2016.4性能對比分析

    Vivado獲取License的步驟教程

    無論此刻你是一個需要安裝Xilinx Vivado工具鏈的入門菜鳥,還是已有l(wèi)icense過期的Vivado老鐵,今兒咱就借著這篇文章,把學(xué)習(xí)「Vivado如何獲取License」這檔子事兒給說通透咯~ 手把手教程,分三部分講述
    的頭像 發(fā)表于 07-03 09:54 ?6w次閱讀
    <b class='flag-5'>Vivado</b>獲取License的步驟教程

    vivado設(shè)計套件資料

    vivado設(shè)計套件資料
    發(fā)表于 10-31 09:49 ?44次下載
    <b class='flag-5'>vivado</b>設(shè)計套件資料

    Tcl在Vivado中的基礎(chǔ)應(yīng)用

    Xilinx的新一代設(shè)計套件Vivado相比上一代產(chǎn)品ISE,在運行速度、算法優(yōu)化和功能整合等很多方面都有了顯著地改進。但是對初學(xué)者來說,新的約束語言XDC以及腳本語言Tcl的引入則成為了快速掌握
    發(fā)表于 11-18 03:52 ?4891次閱讀
    Tcl在<b class='flag-5'>Vivado</b>中的基礎(chǔ)應(yīng)用

    Club Vivado 2015

    2015年Club Vivado開發(fā)者大會的預(yù)覽。
    的頭像 發(fā)表于 11-20 11:51 ?1188次閱讀

    xilinx Vivado工具使用技巧

    Vivado Design Suite中,Vivado綜合能夠合成多種類型的屬性。在大多數(shù)情況下,這些屬性具有相同的語法和相同的行為。
    發(fā)表于 05-02 10:13 ?3993次閱讀

    關(guān)于Vivado 2019.1的Dashboard功能詳解

    關(guān)于Vivado Dashboard的功能可閱讀這篇文章(Vivado 2018.3這個Gadget你用了嗎)Vivado 2019.1的Dashboard功能進一步增強。
    的頭像 發(fā)表于 06-12 14:49 ?8195次閱讀
    關(guān)于<b class='flag-5'>Vivado</b> 2019.1的Dashboard功能詳解

    使用Vivado License Manager時Vivado的錯誤信息

    Vivado License Manager在使用Vivado License Manager時,如果通過如下圖所示方式指定license的路徑時,要保證路徑僅包含ASCII字符而沒有中文字
    的頭像 發(fā)表于 09-12 15:15 ?5754次閱讀

    【FPGA Vivado】基于 FPGA Vivado 的流水燈樣例設(shè)計

    【流水燈樣例】基于 FPGA Vivado 的數(shù)字鐘設(shè)計前言模擬前言Vivado 設(shè)計流程指導(dǎo)手冊——2013.4密碼:5txi模擬
    發(fā)表于 12-04 13:21 ?26次下載
    【FPGA <b class='flag-5'>Vivado</b>】基于 FPGA <b class='flag-5'>Vivado</b> 的流水燈樣例設(shè)計

    如何升級Vivado工程腳本

    Vivado可以導(dǎo)出腳本,保存創(chuàng)建工程的相關(guān)命令和配置,并可以在需要的時候使用腳本重建Vivado工程。腳本通常只有KB級別大小,遠遠小于工程打包文件的大小,因此便于備份和版本管理。下面把前述腳本升級到Vivado 2020.2
    發(fā)表于 08-02 10:10 ?1746次閱讀

    vivado仿真流程

    vivado開發(fā)軟件自帶了仿真工具,下面將介紹vivado的仿真流程,方便初學(xué)者進行仿真實驗。
    的頭像 發(fā)表于 07-18 09:06 ?4026次閱讀
    <b class='flag-5'>vivado</b>仿真流程

    Vivado調(diào)用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以調(diào)用Modelsim進行仿真,下面將介紹如何對vivado進行配置并調(diào)用Modelsim進行仿真,在進行仿真之前需要提前安裝Modelsim軟件。
    的頭像 發(fā)表于 07-24 09:04 ?3367次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用Modelsim仿真

    Vivado設(shè)計套件用戶:使用Vivado IDE的指南

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計套件用戶:使用Vivado IDE的指南.pdf》資料免費下載
    發(fā)表于 09-13 15:25 ?8次下載
    <b class='flag-5'>Vivado</b>設(shè)計套件用戶:使用<b class='flag-5'>Vivado</b> IDE的指南