0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx JTAG to AXI Master IP核的用法

FPGA十年老鳥 ? 來(lái)源:FPGA十年老鳥 ? 2024-10-24 15:29 ? 次閱讀

前言

無(wú)意間發(fā)現(xiàn)了JTAG to AXI Master IP核,發(fā)現(xiàn)這個(gè)東西對(duì)于FPGA單獨(dú)調(diào)試應(yīng)該比較有用,故而來(lái)研究了一番,發(fā)現(xiàn)這個(gè)東西切實(shí)很好用而且也比較簡(jiǎn)單。想起我之前為了構(gòu)造一個(gè)指令系統(tǒng)專門寫了一個(gè)UART2BUS,如果當(dāng)時(shí)我知道使用JTAG to AXI Master IP核,那我可能就直接使用這個(gè)了,所以說(shuō)真的是要不停的學(xué)習(xí)才能不會(huì)落伍呀

用法

很多FPGA工程都是通過(guò)總線寄存器來(lái)進(jìn)行時(shí)序的控制,參數(shù)的傳遞等等。那JTAG to AXI Master IP就提供了一種這樣的便捷的方法,而且只需要JTAG接口就可以了,這個(gè)接口對(duì)于每一個(gè)FPGA板卡來(lái)說(shuō)肯定是必備的,而且調(diào)試環(huán)境也是Vivado現(xiàn)成提供的。在項(xiàng)目初期,F(xiàn)PGA單獨(dú)進(jìn)行開(kāi)發(fā)的時(shí)候(或者軟件部分還沒(méi)有參與進(jìn)來(lái)的時(shí)候),利用JTAG to AXI Master IP 相關(guān)調(diào)試的工作就可以不需要軟件人員的配置而繼續(xù)進(jìn)行下去。

242f7fa2-9068-11ef-a511-92fbcf53809c.png

這里我套用我的博文《ZYNQ開(kāi)發(fā)系列——使用AXI4LITE接口進(jìn)行PS和PL交互》中的工程,在其基礎(chǔ)上將JTAG to AXI Master IP添加上去

24475c76-9068-11ef-a511-92fbcf53809c.png

基地址和地址區(qū)間設(shè)置為與PS相同的,這樣相當(dāng)于不僅僅ARM可以對(duì)BUS_AXI進(jìn)行操作,JTAG_AXI_0這個(gè)IP核也是可以對(duì)BUS_AXI進(jìn)行操作

246ce374-9068-11ef-a511-92fbcf53809c.png

就是這么簡(jiǎn)單,什么手冊(cè)都沒(méi)看,看著端口名字就知道怎么連接了。

Tcl指令

我們可以打開(kāi)vivado界面通過(guò)按鈕來(lái)找到FPGA器件后,然后再輸入tcl指令,而在這里,我為了以后使用的便利性,我就不打開(kāi)vivado了。直接打開(kāi)vivado tcl shell輸入指令來(lái)操作,為后面的操作腳本化來(lái)做準(zhǔn)備。

open_hw
connect_hw_server
open_hw_target
current_hw_device [get_hw_devices xc7z030_1]
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z030_1] 0]




proc ReadReg { address } {
create_hw_axi_txn read_txn [get_hw_axis hw_axi_1] -address $address -type read
run_hw_axi  read_txn
set read_value [lindex [report_hw_axi_txn  read_txn] 1];
delete_hw_axi_txn read_txn
set tmp addr=0x
append tmp $address
append tmp , data=0x
append tmp $read_value
return $tmp
}


proc WriteReg { address data } {
create_hw_axi_txn write_txn [get_hw_axis hw_axi_1] -address $address -data $data -type write
run_hw_axi  write_txn
set write_value [lindex [report_hw_axi_txn  write_txn] 1];
delete_hw_axi_txn write_txn
}



讀地址測(cè)試:
ReadReg 43c00000
ReadReg 43c00004
ReadReg 43c00008
ReadReg 43c0000c
ReadReg 43c00010



248a0d6e-9068-11ef-a511-92fbcf53809c.png

讀寫測(cè)試:

WriteReg 43c0001c 00000001
ReadReg 43c0001c
WriteReg 43c0001c 00000002
ReadReg 43c0001c

249b1212-9068-11ef-a511-92fbcf53809c.png

大功告成?。?/p>

展望

這確實(shí)是一個(gè)比較方便的調(diào)試手段,不需要額外增加其他硬件資源,而JTAG下載線是FPGA調(diào)試所必備的。這種調(diào)試手段確實(shí)能夠提升效率,后續(xù)我要研究下如何使用我的vb.net的上位機(jī)來(lái)進(jìn)行操作,通過(guò)上位機(jī)來(lái)控制,做到每個(gè)寄存器地址的實(shí)時(shí)掃描以及解析,將又不失為一種更為高效的調(diào)試手段。 我在網(wǎng)上看到有一篇《JTAG to AXI Master的API函數(shù)讀寫操作》,看起來(lái)似乎不錯(cuò),準(zhǔn)備研究和嘗試一下,同時(shí)或者我自己將tcl指令封裝起來(lái)也不失為另外一種實(shí)現(xiàn)方法。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5273

    瀏覽量

    119657
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2147

    瀏覽量

    120712
  • 總線
    +關(guān)注

    關(guān)注

    10

    文章

    2851

    瀏覽量

    87844
  • IP核
    +關(guān)注

    關(guān)注

    4

    文章

    320

    瀏覽量

    49335
  • efpga
    +關(guān)注

    關(guān)注

    1

    文章

    32

    瀏覽量

    15661

原文標(biāo)題:FPGA開(kāi)發(fā)技巧備忘錄——Xilinx JTAG to AXI Master IP的使用

文章出處:【微信號(hào):FPGA十年老鳥,微信公眾號(hào):FPGA十年老鳥】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    AXI VIP當(dāng)作master時(shí)如何使用

    ??AXI接口雖然經(jīng)常使用,很多同學(xué)可能并不清楚Vivado里面也集成了AXI的Verification IP,可以當(dāng)做AXImaster
    的頭像 發(fā)表于 07-27 09:19 ?1034次閱讀
    <b class='flag-5'>AXI</b> VIP當(dāng)作<b class='flag-5'>master</b>時(shí)如何使用

    XILINX FPGA IPAXI Traffic Generator

    AXI Traffic Generator IP 用于在AXI4和AXI4-Stream互連以及其他AXI4系統(tǒng)外設(shè)上生成特定序列(流量)。
    的頭像 發(fā)表于 11-23 16:03 ?2147次閱讀
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之<b class='flag-5'>AXI</b> Traffic Generator

    使用JTAGAXI Master無(wú)法調(diào)試設(shè)計(jì)的解決辦法?

    我根據(jù)以下快速拍攝視頻完成了我的設(shè)計(jì):http://www.xilinx.com/video/hardware/using-jtag-to-axi-master-in-vivado.html在視頻
    發(fā)表于 08-12 09:16

    FPGA——API函數(shù)實(shí)現(xiàn)JTAG to AXI Master的讀寫操作

    API函數(shù)實(shí)現(xiàn)JTAG to AXI Master的讀寫通過(guò)調(diào)用SDK里的API函數(shù),可以實(shí)現(xiàn)通過(guò)JTAG線與FPGA內(nèi)部邏輯通信。即在FPGA內(nèi)部例化SDK里的
    發(fā)表于 09-27 10:45

    Xilinx的LogiCORE IP Video In to AXI4

    Xilinx的視頻的IP CORE 一般都是 以 AXI4-Stream 接口。 先介紹一下, 這個(gè)IP的作用。 下面看一下這個(gè)IP 的接口
    發(fā)表于 02-08 08:36 ?592次閱讀
    <b class='flag-5'>Xilinx</b>的LogiCORE <b class='flag-5'>IP</b> Video In to <b class='flag-5'>AXI</b>4

    AXI接口簡(jiǎn)介_(kāi)AXI IP的創(chuàng)建流程及讀寫邏輯分析

    本文包含兩部分內(nèi)容:1)AXI接口簡(jiǎn)介;2)AXI IP的創(chuàng)建流程及讀寫邏輯分析。 1AXI簡(jiǎn)介(本部分內(nèi)容參考官網(wǎng)資料翻譯) 自定義
    的頭像 發(fā)表于 06-29 09:33 ?1.6w次閱讀
    <b class='flag-5'>AXI</b>接口簡(jiǎn)介_(kāi)<b class='flag-5'>AXI</b> <b class='flag-5'>IP</b><b class='flag-5'>核</b>的創(chuàng)建流程及讀寫邏輯分析

    如何使用Xilinx AXI進(jìn)行驗(yàn)證和調(diào)試

    了解如何使用Xilinx AXI驗(yàn)證IP有效驗(yàn)證和調(diào)試AXI接口。 該視頻回顧了使用的好處,以及如何使用示例設(shè)計(jì)進(jìn)行模擬。
    的頭像 發(fā)表于 11-20 06:38 ?4009次閱讀

    自定義sobel濾波IP,IP接口遵守AXI Stream協(xié)議

    自定義sobel濾波IP IP接口遵守AXI Stream協(xié)議
    的頭像 發(fā)表于 08-06 06:04 ?3836次閱讀

    如何使用MicroBlaze調(diào)用AXI IP詳細(xì)解析

    在一個(gè)項(xiàng)目中,當(dāng)你使用microblaze作為控制器來(lái)進(jìn)行系統(tǒng)調(diào)度的時(shí)候,一般是建議將所有模塊封裝成AXI形式的IP,這樣好管理,也容易調(diào)試。
    的頭像 發(fā)表于 04-27 11:17 ?6554次閱讀
    如何使用MicroBlaze調(diào)用<b class='flag-5'>AXI</b> <b class='flag-5'>IP</b><b class='flag-5'>核</b>詳細(xì)解析

    Xilinx FPGA里面的AXI DMA IP的簡(jiǎn)單用法

    本文以浮點(diǎn)數(shù)Floating-point IP將定點(diǎn)數(shù)轉(zhuǎn)換為浮點(diǎn)數(shù)為例,詳細(xì)講解AXI DMA IP的使用方法。
    的頭像 發(fā)表于 02-16 16:21 ?9254次閱讀
    <b class='flag-5'>Xilinx</b> FPGA里面的<b class='flag-5'>AXI</b> DMA <b class='flag-5'>IP</b><b class='flag-5'>核</b>的簡(jiǎn)單<b class='flag-5'>用法</b>

    Video In to AXI4-Stream IP知識(shí)介紹

    大家好!今日分享一些關(guān)于Video In to AXI4-Stream IP 的知識(shí)。在具體學(xué)習(xí)IP的過(guò)程中,我也將分享一些關(guān)于如何看
    的頭像 發(fā)表于 05-18 14:55 ?1507次閱讀
    Video In to <b class='flag-5'>AXI</b>4-Stream <b class='flag-5'>IP</b><b class='flag-5'>核</b>知識(shí)介紹

    簡(jiǎn)單講解AXI Interconnect IP的使用方法

    最近需要用到AXI接口的模塊,xilinxIP很多都用到了AXI總線進(jìn)行數(shù)據(jù)和指令傳輸。如果有多個(gè)設(shè)備需要使用
    的頭像 發(fā)表于 06-19 15:45 ?9140次閱讀
    簡(jiǎn)單講解<b class='flag-5'>AXI</b> Interconnect <b class='flag-5'>IP</b><b class='flag-5'>核</b>的使用方法

    AXI VIP當(dāng)作master時(shí)如何使用?

    ?AXI接口雖然經(jīng)常使用,很多同學(xué)可能并不清楚Vivado里面也集成了AXI的Verification IP,可以當(dāng)做AXImaster、
    的頭像 發(fā)表于 07-27 09:16 ?1507次閱讀
    <b class='flag-5'>AXI</b> VIP當(dāng)作<b class='flag-5'>master</b>時(shí)如何使用?

    基于Xilinx FPGA AXI-EMC IP的EMIF通信測(cè)試

    外部存儲(chǔ)器接口( EMIF )通信常用于FPGA和DSP之間的數(shù)據(jù)傳輸,即將FPGA作為DSP的外部SRAM、或者協(xié)同處理器等。Xilinx提供了AXI-EMC IP,將其掛載到
    的頭像 發(fā)表于 08-31 11:25 ?5599次閱讀
    基于<b class='flag-5'>Xilinx</b> FPGA <b class='flag-5'>AXI</b>-EMC <b class='flag-5'>IP</b>的EMIF通信測(cè)試

    LogiCORE JTAGAXI Master IP簡(jiǎn)介

    LogiCORE JTAGAXI Master IP是一個(gè)可定制的,可生成AXIAXI總線
    的頭像 發(fā)表于 10-16 10:12 ?989次閱讀
    LogiCORE <b class='flag-5'>JTAG</b>至<b class='flag-5'>AXI</b> <b class='flag-5'>Master</b> <b class='flag-5'>IP</b><b class='flag-5'>核</b>簡(jiǎn)介