0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用modelsim時的問題分析

FPGA技術(shù)江湖 ? 來源:FPGA技術(shù)江湖 ? 2024-10-24 18:15 ? 次閱讀

大俠好,歡迎來到FPGA技術(shù)江湖,江湖偌大,相見即是緣分。大俠可以關(guān)注FPGA技術(shù)江湖,在“闖蕩江湖”、"行俠仗義"欄里獲取其他感興趣的資源,或者一起煮酒言歡。

今天給大俠帶來“FPGA學(xué)習(xí)系列 altera"系列,持續(xù)更新。此學(xué)習(xí)心得是本人之前所寫,所用設(shè)計軟件為Quartus II 13.1,現(xiàn)Quartus II 新版本已更新到20+,以下僅供初學(xué)者學(xué)習(xí)參考。后續(xù)會更新其他系列,敬請關(guān)注。話不多說,上貨。

仿真對于FPGA設(shè)計來說至關(guān)重要,我們經(jīng)常使用modelsim來進行功能仿真或者時序仿真,這樣就需要將modelsim和設(shè)計軟件(quartus ii)聯(lián)系起來,下面是設(shè)計者在使用modelsim時可能會遇到的問題。

1.路徑的問題

在需要仿真時,設(shè)計往往是通過設(shè)計軟件來打開modelsim,這樣的話,就需要在設(shè)計軟件中添加modelsim的路徑,如果路徑錯誤或者沒有填寫路徑,那么將會出現(xiàn)如下錯誤界面:

7e92830a-9071-11ef-a511-92fbcf53809c.png

如果出現(xiàn)上述界面的話,大家首先應(yīng)該考慮的是路徑錯誤,修改路徑方法如下:

1)點擊Tools->options。

7ea9dc58-9071-11ef-a511-92fbcf53809c.png

2)選中左側(cè)的EDA Tools options

7ec5325a-9071-11ef-a511-92fbcf53809c.png

3)點擊更改路徑。

7ee2356c-9071-11ef-a511-92fbcf53809c.png

在進行選擇路徑之前,先確定modelsim的安裝路徑,然后再去尋找。

4)確定路徑即可。

7efc0fdc-9071-11ef-a511-92fbcf53809c.png

當(dāng)設(shè)置完成后,有的軟件還是不能打開設(shè)計軟件,可以重復(fù)上述步驟,在路徑的最后加上“”。如下:

7f2a2368-9071-11ef-a511-92fbcf53809c.png


7e81cf2e-9071-11ef-a511-92fbcf53809c.gif

2. 選擇仿真軟件錯誤。

完成路徑設(shè)置后,仿真若還是出現(xiàn)路徑的問題,則考慮自己選擇仿真軟件時,出現(xiàn)錯誤。

1)點擊assignments->settings

7f485798-9071-11ef-a511-92fbcf53809c.png

2)點擊simulation,選擇正確的仿真工具。

7f5d4446-9071-11ef-a511-92fbcf53809c.jpg

3)點擊OK。

3. 重復(fù)打開modelsim。

7fca296c-9071-11ef-a511-92fbcf53809c.png

modelsim在一個工程中只能打開一個,不允許多次打開,讀者只需將之前所打開的modelsim關(guān)掉即可。

4. modelsim軟件打開,但是沒有出現(xiàn)波形窗口。

1)代碼有錯誤。

7ff310a2-9071-11ef-a511-92fbcf53809c.png

找到如上窗口,按照錯誤提示,去修改代碼,重新仿真即可。

2)添加激勵文件錯誤。

查看添加的激勵文件,確認是否有錯誤。若有錯誤,改正過來,重新仿真即可。

5. modelsim軟件打開后,自動關(guān)閉。

若在激勵文件沒有寫入系統(tǒng)命令“$finish”時,則考慮軟件崩潰,可重新安裝modelsim軟件。

6.許可證的問題

當(dāng)打開modelsim時,需要license文件,大家需要去破解并添加license文件。筆者所提供的modelsim軟件是免費版的,并不需要破解。

上述問題只是比較常見的問題,若還是解決不了讀者的問題,可以聯(lián)系筆者,我們共同探討解決問題。如果還是有不明白的讀者可以發(fā)郵件到我郵箱或者加群詢問。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600569
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4003

    瀏覽量

    133236
  • 軟件
    +關(guān)注

    關(guān)注

    69

    文章

    4621

    瀏覽量

    86998
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    174

    瀏覽量

    47064

原文標(biāo)題:FPGA學(xué)習(xí)altera 系列精選: modelsim的若干問題

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    ModelSim 電子系統(tǒng)分析及仿真

    ModelSim 電子系統(tǒng)分析及仿真ModelSim是優(yōu)秀的HDL仿真軟件之一,支持VHDL 和Verilog混合仿真的仿真器。這篇文章是以ModelSim6.1f軟件為例的,包括基礎(chǔ)
    發(fā)表于 09-11 15:10

    ModelSim使用教程

    ModelSim使用教程 ModelSim OEM入門教程
    發(fā)表于 04-14 11:35 ?0次下載

    基于工程應(yīng)用的Modelsim評估分析

    前言:作為成功的第三方EDA軟件,Modelsim一直是業(yè)內(nèi)公認強有力的FPGA/ASIC驗證工具。本人在使用Modelsim近一年的時間里,以自己的實際經(jīng)歷切實體會到這一點。在利用Modelsim作為FPGA驗證
    發(fā)表于 06-07 11:17 ?27次下載

    ModelSim SE 入門

    本文以ModelSim SE 5.6版本為基礎(chǔ),介紹ModelSim SE的最基本用法,高深的我也不會 。當(dāng)你安裝完ModelSim SE之后,可以將你的ModelSim SE的起始路
    發(fā)表于 07-06 15:37 ?69次下載

    ModelSim和QuestaSim功能簡介及應(yīng)用

    ModelSim和QuestaSim功能簡介及應(yīng)用 ModelSim是工業(yè)界最優(yōu)秀的語言仿真器,它提供最友好的調(diào)試環(huán)境
    發(fā)表于 04-29 09:07 ?2w次閱讀
    <b class='flag-5'>ModelSim</b>和QuestaSim功能簡介及應(yīng)用

    使用 ModelSim 進行設(shè)計仿真詳解

    本章為ModelSim的初級教程,讀者讀完本章可以較為熟練的使用ModelSim進行設(shè)計仿真,本章沒有也不可能涉及ModelSim的各個方面,要想全面的掌握ModelSim可以參閱軟件
    發(fā)表于 12-24 18:29 ?0次下載

    modelsim使用教程

    modelsim使用教程,感興趣的小伙伴們可以瞧一瞧。
    發(fā)表于 11-05 14:48 ?0次下載

    modelsim使用教程

    modelsim使用教程,感興趣的小伙伴們可以瞧一瞧。
    發(fā)表于 11-10 11:41 ?8次下載

    modelsim使用教程

    講解MODELSIM使用
    發(fā)表于 01-24 17:30 ?36次下載

    modelsim保存波形操作方法解析

    在數(shù)字電路設(shè)計中(Verilog or VHDL),ModelSim是常用的仿真工具。當(dāng)我們仿真大型的工程時,往往需要保留仿真波形,以便分析和以后查看。這就需要學(xué)習(xí)在ModelSim里面如何保存仿真波形及調(diào)用波形。
    發(fā)表于 11-24 11:13 ?1.7w次閱讀

    使用Vivado 2017調(diào)用Modelsim的詳細步驟

    本次使用的Vivado版本為Vivado_2017.3版本,從Xilinx官方文檔可以了解到,該版本的Vivado只支持Modelsim10.6或者更高版本,但是筆者只有Modelsim
    發(fā)表于 03-30 09:51 ?1.7w次閱讀
    使用Vivado 2017調(diào)用<b class='flag-5'>Modelsim</b>的詳細步驟

    Modelsim仿真教程Modelsim的基礎(chǔ)入門基礎(chǔ)教程免費下載

    筆者一直以來都在糾結(jié),自己是否要為仿真編輯相關(guān)的教程呢?一般而言,Modelsim等價仿真已經(jīng)成為大眾的常識,但是學(xué)習(xí)仿真是否學(xué)習(xí)Modelsim,筆者則是一直保持保留的態(tài)度。筆者認為,仿真
    發(fā)表于 04-30 18:24 ?23次下載
    <b class='flag-5'>Modelsim</b>仿真教程<b class='flag-5'>Modelsim</b>的基礎(chǔ)入門基礎(chǔ)教程免費下載

    基于ModelSim使用二聯(lián)合Quarus自動仿真教程

    3 ModelSim工程實戰(zhàn)之自動仿真說完了 ModelSim 的使用流程,接下來我們將會對每個流程進行詳細的操作演示,一步步、手把手帶領(lǐng)大家學(xué)習(xí)使用 ModelSim 軟件。首先我們講解
    的頭像 發(fā)表于 07-23 10:51 ?1980次閱讀
    基于<b class='flag-5'>ModelSim</b>使用二聯(lián)合Quarus自動仿真教程

    基于ModelSim使用四ModelSim手動仿真教程

    4.1 新建仿真工程 在開始動手仿真之前,首先,我們需要創(chuàng)建一個文件夾用來放置我們的 ModelSim 仿真工程文件,這里我們就在之前創(chuàng)建的 Quartus 工程目錄下的 simulation
    的頭像 發(fā)表于 07-23 11:10 ?3936次閱讀

    Vivado調(diào)用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以調(diào)用Modelsim進行仿真,下面將介紹如何對vivado進行配置并調(diào)用Modelsim進行仿真,在進行仿真之前需要提前安裝Mo
    的頭像 發(fā)表于 07-24 09:04 ?3369次閱讀
    Vivado調(diào)用<b class='flag-5'>Modelsim</b>仿真