0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

一文詳解FPGA的圖像處理技術(shù)

電子工程師 ? 作者:工程師a ? 2018-05-06 01:04 ? 次閱讀

基于軟件的圖像處理方法存在著一些局限性,尤其是計算速度和算法效率方面。所以大家很自然的就想到了FPGA作為嵌入式圖像應用的平臺。許多圖像處理本身就是并行計算的,并且FPGA的編程硬件,本質(zhì)上也是并行的。但是利用FPGA硬件進行圖像處理存在很多的困難,需要學到很多的技巧。下面我介紹兩幾種比較基礎(chǔ)的圖像處理算法思想。

單幅圖像的點操作是圖像處理中最簡單的操作,輸出值只取決于輸入值,與位置無關(guān),可以看作是一個函數(shù)的映射。從硬件實現(xiàn)的角度來說,最簡單的方式就是通過一個實現(xiàn)函數(shù)的模塊對輸入的每個像素進行依次處理,也就是流水化處理。每個像素都是單獨處理的,可以把圖像分為若干部分,每個部分單獨處理,所以點操作容易并行實現(xiàn)。點操作可作為讀取圖像和后續(xù)處理之間的一個橋梁。A:亮度調(diào)節(jié);為了使圖像變亮,可以增大輸出像素值,可以通過加一個常量實現(xiàn)。類似地,變暗減小像素值。但是實際中,調(diào)節(jié)亮度要復雜的多,因為人的視覺系統(tǒng)是非線性的。B:對比度調(diào)節(jié);圖像的對比度受映射函數(shù)的斜率影響。斜率大于1增強,小于1則降低,可以通過乘以一個大于或者小于1的常數(shù)實現(xiàn)。C:同時調(diào)節(jié)亮度和對比度;一個簡單的調(diào)節(jié)它們的點操作是:Q=aI+b=a(I+b’),a,b是控制亮度和對比度的任意常數(shù)。當Q超出范圍怎么辦?例如用8位表示像素值時,Q超出0~255,那么輸出怎么辦?默認情況下只取8位最低有效位并且忽略任何會導致值超出范圍的溢出位。通常還需要進行飽和或者裁剪到極值效果會更好。

直方圖操作。使用直方圖的圖像處理有兩個相關(guān)的主要步驟。第一步是建立直方圖,第二步是從直方圖中提取數(shù)據(jù)并用它來處理圖像。A建立直方圖:對每個像素值累計計數(shù)。通過計數(shù)器數(shù)組完成計算每個像素值出現(xiàn)的次數(shù)。這個方法的缺點是占用的硬件資源比較多,適合閾值后的直方圖計算。可以使用雙口存儲器實現(xiàn),可以大大減少邏輯資源的使用。B直方圖均衡化(使用局部信息來分配那些在輸出像素值的范圍上具有大的計數(shù)值的輸入值的像素來獲得更平坦的直方圖):實現(xiàn)直方圖均衡化的映射是歸一化累積直方圖。直觀地,如果輸入點集合的計數(shù)值大于平均值,那么映射的斜率大于1,反之,小于1。

局部濾波器。局部濾波器擴展點操作,以一個局部窗口內(nèi)像素值的函數(shù)運算結(jié)果作為輸出。窗口的大小、形狀可以隨意,但是一般都是采用奇數(shù)正方形的,我見過最多的就是3x3,5x5,7x7,這樣的話中心就很容易確定。局部濾波器有去噪、邊緣檢測、邊緣增強等。線性去噪有排序去噪,均值去噪,加權(quán)均值去噪等,邊緣檢測可以利用Prewitt,Sobel算子等,將這些算法在3x3窗口中實現(xiàn),相對來說就比較容易了。也可以改進這些算法,是寫小論文比較好的創(chuàng)新點。還有一些形態(tài)學濾波器,顏色濾波器,大致思想都一樣,就是實現(xiàn)的時候算法改一下。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600474
  • 圖像處理技術(shù)
    +關(guān)注

    關(guān)注

    0

    文章

    32

    瀏覽量

    10052
收藏 人收藏

    評論

    相關(guān)推薦

    FPGA圖像處理領(lǐng)域的優(yōu)勢有哪些?

    成為圖像處理領(lǐng)域的種重要技術(shù),并在許多應用場景中得到了廣泛的應用。隨著FPGA技術(shù)的不斷發(fā)展,
    發(fā)表于 10-09 14:36

    張工教你FPGA圖像處理技術(shù)

    本帖最后由 enlinux123 于 2014-11-7 16:41 編輯 想?yún)⒓?b class='flag-5'>技術(shù)培訓學習可以加張工2232894713最近段時間直在研究基于FPGA
    發(fā)表于 11-05 09:50

    FPGA圖像處理技術(shù),你知道多少?

     最近段時間直在研究基于FPGA圖像處理,乘著這個機會和大家交流下,自己也順便總結(jié)
    發(fā)表于 03-20 11:22

    FPGA圖像處理技術(shù),你知道多少?

     最近段時間直在研究基于FPGA圖像處理,乘著這個機會和大家交流下,自己也順便總結(jié)
    發(fā)表于 03-20 11:22

    詳解:LabVIEW 圖像處理需要哪些軟件!!!

    詳解:LabVIEW 圖像處理需要哪些軟件!!!
    發(fā)表于 04-04 11:49

    FPGA圖像處理技術(shù),你知道多少?

    最近段時間直在研究基于FPGA圖像處理,乘著這個機會和大家交流下,自己也順便總結(jié)
    發(fā)表于 04-21 14:25

    基于DSP和FPGA的通用圖像處理平臺設(shè)計

    設(shè)計種基于DSP和FPGA架構(gòu)的通用圖像處理平臺,運用FPGA實現(xiàn)微處理器接口設(shè)計,并對
    發(fā)表于 12-25 17:06 ?61次下載

    基于DSP和FPGA的通用圖像處理平臺設(shè)計

    基于DSP和FPGA的通用圖像處理平臺設(shè)計 摘要:設(shè)計種基于DSP和FPGA架構(gòu)的通用圖像
    發(fā)表于 02-01 11:10 ?1478次閱讀
    基于DSP和<b class='flag-5'>FPGA</b>的通用<b class='flag-5'>圖像</b><b class='flag-5'>處理</b>平臺設(shè)計

    基于FPGA圖像采集與處理

    基于FPGA圖像采集與處理,是個適合初學者學習的書籍。 內(nèi)容詳細完整。
    發(fā)表于 03-04 15:48 ?50次下載

    FPGA圖像處理

    FPGA圖像處理
    發(fā)表于 12-14 22:29 ?19次下載

    略談FPGA圖像處理

    FPGA圖像處理之路,從此開始,接下來,讓我們把時間交給“圖像處理”。休哥在動筆之前,
    發(fā)表于 05-09 17:05 ?4113次閱讀

    FPGA中如何使用Verilog處理圖像

    的完整 Verilog 代碼 。 在這個FPGA Verilog項目中,些簡單的處理操作都是在Verilog中實現(xiàn)的,比如反相、亮度控制和閾值操作。圖像
    的頭像 發(fā)表于 09-23 15:50 ?5874次閱讀

    詳解精密封裝技術(shù)

    詳解精密封裝技術(shù)
    的頭像 發(fā)表于 12-30 15:41 ?1579次閱讀

    FPGA學習-基于FPGA圖像處理

    圖像處理的算法中,大部分需要采用 浮點數(shù) 運算,而浮點數(shù)運算再FPGA中是非常不劃算的,因此需要轉(zhuǎn)換成定點數(shù)計算,此時會設(shè)計到浮點運算轉(zhuǎn)定點運算時精度下降的問題。 3.軟件和硬件的合理劃分 這里的軟件是指DSP,CPU,硬件是
    的頭像 發(fā)表于 02-15 16:35 ?1169次閱讀

    基于FPGA搭建個通用的圖像處理平臺

    本文介紹如何搭建個通用的圖像處理平臺,采用HDMI接口進行輸入、輸出,可用于測試基于HLS的FPGA圖像
    的頭像 發(fā)表于 09-04 18:20 ?2081次閱讀
    基于<b class='flag-5'>FPGA</b>搭建<b class='flag-5'>一</b>個通用的<b class='flag-5'>圖像</b><b class='flag-5'>處理</b>平臺