0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

一文詳解Quartus II自動(dòng)添加管腳分配的方法

h1654155282.3538 ? 來(lái)源:網(wǎng)絡(luò)整理 ? 2018-05-16 11:44 ? 次閱讀

Quartus II中FPGA管腳的分配及保存方法做一個(gè)匯總。本文首先介紹了FPGA 的管腳分配方法,其次介紹了Quartus II自動(dòng)添加管腳分配的方法,最后闡述了FPGA管腳分配文件保存方法,具體的跟隨小編一起來(lái)了解一下吧。

一、管腳分配方法

FPGA 的管腳分配,除了在QII軟件中,選擇“Assignments -》Pin”標(biāo)簽(或者點(diǎn)擊按鈕) ,打開(kāi)Pin Planner,分配管腳外,還有以下2種方法。

方法一:Import Assignments

步驟1:

使用記事本或類(lèi)似軟件新建一個(gè)txt文件(或csv文件),按如下格式編寫(xiě)管腳分配內(nèi)容(不同的開(kāi)發(fā)版,其內(nèi)容也不同,本文以我使用的DIY_DE2開(kāi)發(fā)板為范例)。【這種方式格式最為簡(jiǎn)單】

注意:To和Location兩個(gè)關(guān)鍵字中間有一個(gè)半角逗號(hào)。

一文詳解Quartus II自動(dòng)添加管腳分配的方法

圖1 pin.txt

步驟2:

在QII軟件中,選擇“Assignments -》 Import Assignments”。如圖所示,導(dǎo)入xxx.txt或者xxx.csv文件即可

一文詳解Quartus II自動(dòng)添加管腳分配的方法

一文詳解Quartus II自動(dòng)添加管腳分配的方法

圖2 導(dǎo)入pin.txt

步驟3:

在QII軟件中,選擇“Assignments -》 Pin”標(biāo)簽(或者點(diǎn)擊 按鈕) ,打開(kāi)Pin Planner,驗(yàn)證管腳是否分配正確。

一文詳解Quartus II自動(dòng)添加管腳分配的方法

圖3 驗(yàn)證管腳是否分配正確

方法二:導(dǎo)入source xxx.tcl文件

步驟1:

在QII軟件中,使用“Assignments -》 Remove Assignments”標(biāo)簽,移除管腳分配內(nèi)容,以確保此次操作,分配的管腳沒(méi)有因?yàn)楦采w而出現(xiàn)錯(cuò)誤的情況。

一文詳解Quartus II自動(dòng)添加管腳分配的方法

圖4 Remove Assignments

注:在未執(zhí)行任何管腳分配操作新工程中,可跳過(guò)步驟1。

步驟2:

使用記事本或類(lèi)似軟件新建一個(gè)tcl文件,按如下格式編寫(xiě)管腳分配內(nèi)容(不同的開(kāi)發(fā)版,其內(nèi)容也不同,本文以我使用的DIY_DE2開(kāi)發(fā)板為范例)。

注意關(guān)鍵字set_location_assignment和-to的用法。

一文詳解Quartus II自動(dòng)添加管腳分配的方法

圖5 pin.tcl

步驟3:

執(zhí)行pin.tcl

方法1:在QII軟件中,使用“View -》 Utility Windows -》 Tcl Console”標(biāo)簽,打開(kāi)Quartus II Tcl Console。執(zhí)行語(yǔ)句:

一文詳解Quartus II自動(dòng)添加管腳分配的方法

圖6 source pin.tcl

方法2:在QII軟件中,使用“Tools -》 Tcl Scripts …”標(biāo)簽,打開(kāi)Tcl Scripts。

一文詳解Quartus II自動(dòng)添加管腳分配的方法

圖7 Tcl Scripts

選擇pin.tcl,選擇“Run”標(biāo)簽,執(zhí)行Tcl文件。

步驟4:

同方法1的步驟3。

步驟1:在QII軟件中,使用“Assignments —— Remove Assignments”標(biāo)簽,移除管腳分配內(nèi)容,以確保此次操作,分配的管腳沒(méi)有因?yàn)楦采w而出現(xiàn)錯(cuò)誤的情況。

一文詳解Quartus II自動(dòng)添加管腳分配的方法

注:在未執(zhí)行任何管腳分配操作新工程中,可跳過(guò)步驟1。

步驟2:使用記事本或類(lèi)似軟件新建一個(gè)TCL文件,按如下格式編寫(xiě)管腳分配內(nèi)容(以EP1C12的 例程為例)

一文詳解Quartus II自動(dòng)添加管腳分配的方法

我們命名的名字就是后面那部分,PIN_*是FPGA硬件上癿引腳,-to后面的就是對(duì)應(yīng)的名字 如

set_location_assignment PIN_153 -to clk_50m

一文詳解Quartus II自動(dòng)添加管腳分配的方法

我們可以看到,原理圖中50MHz晶振Y1(CLK2)接的就是FPGA的153腳。 步驟3:將新建的TCL文件放到工程目錄文件夾下。

一文詳解Quartus II自動(dòng)添加管腳分配的方法

步驟4:執(zhí)行pin.tcl。

在QII軟件中,使用“Tools —— Tcl Scripts ?”標(biāo)簽,打開(kāi)Tcl Scripts

一文詳解Quartus II自動(dòng)添加管腳分配的方法

選擇pin.tcl,選擇“Run”標(biāo)簽,執(zhí)行Tcl文件。

如下所示,又看見(jiàn)Successfully了吧,返說(shuō)明我們腳本文件運(yùn)行成功了

一文詳解Quartus II自動(dòng)添加管腳分配的方法

二、Quartus II自動(dòng)添加管腳分配的方法

對(duì)CPLD大量管腳進(jìn)行分配是件頭疼的事情。在Pin Planner里逐一添加比較麻煩。或者布線改動(dòng)較多時(shí)需要逐一修改。

可以通過(guò)內(nèi)置tcl命令進(jìn)行自動(dòng)添加,步驟如下:

1. 新建一個(gè)文本文件,例如pin.txt

2. 添加多條管腳分配命令

set_location_assignment PIN_123 -to MODULE_PORT

其中,PIN_123是管腳123的編號(hào),其它的類(lèi)似。MODULE_PORT是TOP模塊的端口

如果端口是多位的,則需使用如下命令

set_location_assignment PIN_123 -to MODULE_PORT[n]

其中,n表示端口的第n位

3. 在Quartus中打開(kāi)Tcl console,可以通過(guò)快捷鍵Alt+2打開(kāi)

4. 在Tcl console中粘貼pin.txt中所有命令,回車(chē)執(zhí)行

5. 自動(dòng)分配完成!可以在Pin Planner中查看分配結(jié)果。保留pin.txt用于未來(lái)管腳的更改。

三、FPGA管腳分配文件保存方法

使用別人的工程時(shí),有時(shí)找不到他的管腳文件,但可以把他已經(jīng)綁定好的管腳保存下來(lái),輸出到文件里。

方法一:

查看引腳綁定情況,quartus -》 assignment -》 Pins,打開(kāi)FPGA引腳界面,在這個(gè)界面的菜單中可以保存引腳文件為csv格式(表格形式)和tcl格式。

步驟:File -》 Export… -》 選擇保存名字和保存格式。

方法二:

直接輸出管腳配置,assignmengt -》 Export assignmengt,可以保存配置為qsf格式,該格式可以用記事本查看,在該文件中同樣包含了引腳信息。注意:保存時(shí)會(huì)在原工程文件夾下新建一個(gè)文件夾。

至于元件的其它配置方式,可以在qsf文件和tcl文件中用語(yǔ)句來(lái)設(shè)置,也可以在Assignment -》 device,界面中設(shè)置。

加載:

使用已經(jīng)有的引腳文件時(shí),在選項(xiàng)assignment -》 Import assignment,中可以選擇要加載的引腳文件。此時(shí),可以加載qsf 和 csv(或txt)文件。

保存的csv(txt)文件、qsf文件 和 tcl文件的區(qū)別:

csv(txt)文件:包含芯片所有管腳信息,包括分配的和未分配的;

qsf文件:包含管腳分配信息和芯片信息;

tcl文件:只包含已分配管腳信息。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600449
  • 管腳分配
    +關(guān)注

    關(guān)注

    1

    文章

    5

    瀏覽量

    7461
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Quartus II管腳上拉電阻(弱上拉)的設(shè)置方法

    Quartus II管腳上拉電阻(弱上拉)的設(shè)置方法Quartus II
    發(fā)表于 08-12 16:10

    我想問(wèn)下,在quartus II 11版中運(yùn)行了tcl的管腳分配文件,消...

    我想問(wèn)下,在quartus II 11版中運(yùn)行了tcl的管腳分配文件,消息框里面怎么什么反應(yīng)都沒(méi)有,管腳也沒(méi)有鎖上。tcl文件如下:set_
    發(fā)表于 09-02 12:22

    quartus ii 遇到的問(wèn)題?。。?!

    {:4_106:}分配完引腳后編譯出現(xiàn)了:The Quartus II Settings File changed outside of the Quartus
    發(fā)表于 10-28 15:02

    Quartus II管腳上拉電阻(弱上拉)的設(shè)置方法

    Quartus II管腳上拉電阻(弱上拉)的設(shè)置方法:
    發(fā)表于 05-13 17:06

    Quartus II打開(kāi)Pin Planner后在管腳分配時(shí)有項(xiàng)Reserved不知道里面列表的含義

    各位前輩:Quartus II打開(kāi)Pin Planner后在管腳分配時(shí)有項(xiàng)Reserved,里面包含了以下幾項(xiàng)內(nèi)容:As SignalPr
    發(fā)表于 10-29 09:02

    Quartus II中FPGA的管腳分配保存方法

    、摘要 將Quartus II中FPGA管腳分配及保存方法個(gè)匯總。 二、
    發(fā)表于 07-03 12:56

    Quartus II 下FPGA管腳鎖定

    Quartus II 下FPGA管腳鎖定在新建工程、編輯文件、編譯、排錯(cuò)完成后就進(jìn)入管腳鎖定以及電平設(shè)置階段。這里還是以位全加器為例介紹
    發(fā)表于 07-30 15:09

    quartus ii使用教程,中文教程

    Quartus II Fitter 也稱作PowerFit  Fitter,執(zhí)行布局布線功能,在Quartus II軟件中是指“fitting( 適配)”。Fitter 使用
    發(fā)表于 04-21 23:11 ?4878次下載
    <b class='flag-5'>quartus</b> <b class='flag-5'>ii</b>使用教程,中文教程

    Quartus II 中文教程

    Quartus II 中文教程 您現(xiàn)在閱讀的是 Quartus II 簡(jiǎn)介手冊(cè)。 Altera® Quartus®
    發(fā)表于 03-11 14:41 ?233次下載

    Quartus II使用Verilog設(shè)計(jì)介紹

    Quartus II Introduction Using Verilog Design
    發(fā)表于 11-24 11:42 ?6次下載

    EDA實(shí)驗(yàn) Quartus II軟件的使用

    Quartus II軟件的使用
    發(fā)表于 11-14 17:48 ?12次下載

    Quartus II設(shè)計(jì)系列教程詳細(xì)概述

    quartus II 學(xué)習(xí)基礎(chǔ)材料
    發(fā)表于 08-11 17:36 ?19次下載
    <b class='flag-5'>Quartus</b> <b class='flag-5'>II</b>設(shè)計(jì)系列教程詳細(xì)概述

    Quartus II管腳上拉電阻應(yīng)該如何設(shè)置

    在使用Altera的FPGA時(shí)候,由于系統(tǒng)需求,需要在管腳的內(nèi)部加上上拉電阻。Quartus II軟件中在Assignment Editor中可以設(shè)置。具體過(guò)程如下:
    發(fā)表于 01-29 16:26 ?16次下載
    <b class='flag-5'>Quartus</b> <b class='flag-5'>II</b>中<b class='flag-5'>管腳</b>上拉電阻應(yīng)該如何設(shè)置

    有什么方法可以減少Quartus II的編譯時(shí)間嗎?

    對(duì)于減少Quartus II的編譯時(shí)間的方法,可從三個(gè)角度進(jìn)行考慮。
    的頭像 發(fā)表于 05-18 10:27 ?4666次閱讀
    有什么<b class='flag-5'>方法</b>可以減少<b class='flag-5'>Quartus</b> <b class='flag-5'>II</b>的編譯時(shí)間嗎?

    Quartus II 13.0軟件下載

    首先安裝Quartus II 13.0軟件再用Quartus_II_13.0_x64破解器.exe破解
    發(fā)表于 12-21 17:27 ?29次下載