0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

AD9739A FMC板與Xilinx FPGA平臺的接口

EE techvideo ? 2018-06-03 01:47 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600561
  • adi
    adi
    +關(guān)注

    關(guān)注

    144

    文章

    45806

    瀏覽量

    247566
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2146

    瀏覽量

    120712
收藏 人收藏

    評論

    相關(guān)推薦

    國產(chǎn)FPGA核心!米爾紫光同創(chuàng)Logos-2和Xilinx Artix-7核心

    PG2L100H的MYC-J2L100H核心及開發(fā)、基于Xilinx Artix-7系列的MYC-J7A100T核心及開發(fā)
    發(fā)表于 05-31 17:40

    FPGA核心 Xilinx Artix-7系列XC7A100T開發(fā)平臺,米爾FPGA工業(yè)開發(fā)

    MYC-J7A100T核心及開發(fā)Xilinx Artix-7系列XC7A100T開發(fā)平臺,
    發(fā)表于 05-31 15:12 ?8次下載

    亞德諾半導(dǎo)體評估 ADS8-V1EBZ Evaluation Board | Analog Devices 全新原裝現(xiàn)貨

    評估Xilinx Kintex Ultrascale XCKU040-3FFVA1156E FPGA。 一(1)個FMC+接頭。 二十(20)個16Gbps收發(fā)器,由一(1)個
    發(fā)表于 04-09 17:10

    H743在使用FMC接口外接FPGA,在進(jìn)行讀寫操作時,FPGA抓不到片選信號和讀使能拉低怎么解決?

    H743在使用FMC接口外接FPGA,將FPGA視作SRAM,在進(jìn)行讀寫操作時,FPGA抓不到片選信號和讀使能拉低,但能抓到寫使能拉低? h
    發(fā)表于 03-11 06:50

    AD9739的SYNC控制器和RCVR控制器LOST信號拉高,不能進(jìn)行正確同步的原因?

    您好,我們目前使用XILINX的一款FPGA與AD9739進(jìn)行數(shù)據(jù)傳輸。目前的現(xiàn)象是,溫度在25攝氏度到50攝氏度之間AD工作正常,隨著溫度的升高,AD9739輸出的信號頻譜變?yōu)榉蔷€性
    發(fā)表于 12-22 08:05

    AD9739A-FMC-EBZ的ENOB是多少?

    我有一塊AD9739A-FMC-EBZ板子用于科研工作,有專家問我這款DAC芯片的ENOB是多少?我知道 ENOB作為ADC參數(shù)是常見的,ENOB = (SINAD-1.76)/6.02,但對
    發(fā)表于 12-20 07:01

    使用AD轉(zhuǎn)換開發(fā)AD9739A-FMC-EBZ-ND時遇到的問題求解

    使用官方提供的SDK代碼, SPI引腳定義與官方例程的FPGA引腳保持一致。在通過SPI配置AD9739A-FMC-EBZ-ND開發(fā)上的ADF4350芯片和AD9739A芯片時,程序
    發(fā)表于 12-14 08:14

    使用Lvpecl電平能夠驅(qū)動AD9739A的時鐘端嗎?

    使用Lvpecl電平能夠驅(qū)動AD9739A的時鐘端么?如果可以,能否提供相關(guān)的端接電路,并且提供IBIS模型?
    發(fā)表于 12-14 06:34

    AD9739A輸出信號諧波很大是怎么回事?

    使用AD9739A生成信號,用FPGA的DDS生成信號,見圖,諧波特別明顯,尤其是2次諧波,信號連接方式參考的是芯片資料中連接90歐電阻及巴倫,時鐘采用ADF4350產(chǎn)生,現(xiàn)在不知道問題出在什么地方,希望大神們幫助!圖片中產(chǎn)生的信號頻率分別為30MHz、100MHz和20
    發(fā)表于 12-12 06:52

    使用AD9739A生成信號,用FPGA的DDS生成信號,為什么諧波特別明顯?

    使用AD9739A生成信號,用FPGA的DDS生成信號,見圖,諧波特別明顯,尤其是3次諧波,購買的是AD9739_FMC的評估,下圖中產(chǎn)生250M的信號,三次諧波比750M比250M
    發(fā)表于 12-07 07:57

    AD9739A-FMC-EBZ-revc打開AD9739A-SPI的上位機(jī)軟件,軟件右方大多數(shù)寄存器返回值為FF是怎么回事?

    您好: 關(guān)于AD9739A-FMC-EBZ-revc評估,打開AD9739A-SPI的上位機(jī)軟件,軟件已經(jīng)識別評估,但是軟件右方大多數(shù)寄存器返回值為FF,如下圖所示,請問怎么回事。
    發(fā)表于 12-07 06:02

    AD9739A-FMC輸出的頻譜出現(xiàn)很毛刺是什么原因造成的?

    AD9739A-FMC 輸出的頻譜出現(xiàn)很多跳動的毛刺,請教一下會是哪方面的問題?
    發(fā)表于 12-06 06:19

    AD9627數(shù)字IO是否可以直接連接到FMC連接器?

    準(zhǔn)備設(shè)計(jì)一塊單板,ADC型號為AD9627,數(shù)字中頻信號接口配置為 1.8V CMOS, 通過FMC 連接器和Xilinx VC707 FPGA開發(fā)
    發(fā)表于 12-05 07:53

    EVAL-AD7386FMCZ是否適用于其他帶有FMC接口FPGA開發(fā)?

    請問EVAL-AD7386FMCZ是否適用于其他帶有FMC接口FPGA開發(fā),例如Xilinx公司的ML605開發(fā)
    發(fā)表于 12-04 07:30

    高性能伺服控制FMC參考設(shè)計(jì)

    同步電機(jī)(PMSM)。該板卡可解決電機(jī)控制應(yīng)用中的功耗和隔離挑 戰(zhàn),提供針對電機(jī)反饋信號的精確測量。作為Xilinx Zynq? FPGA基板的配套板卡, 該電機(jī)控制FMC可連接任意
    發(fā)表于 11-28 16:10 ?0次下載
    高性能伺服控制<b class='flag-5'>FMC</b><b class='flag-5'>板</b>參考設(shè)計(jì)