0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

純數(shù)字電路的FPGA,實現(xiàn)平方根是比較麻煩的

DIri_ALIFPGA ? 來源:未知 ? 作者:李倩 ? 2018-06-08 14:40 ? 次閱讀

我知道,我對與電子有關(guān)的所有事情都很著迷,但不論從哪個角度看,今天的現(xiàn)場可編程門陣列(FPGA),都顯得“鶴立雞群”,真是非常棒的器件。如果在這個智能時代,在這個領(lǐng)域,想擁有一技之長的你還沒有關(guān)注FPGA,那么世界將拋棄你,時代將拋棄你。

作為純數(shù)字電路的FPGA,實現(xiàn)平方根是比較麻煩的。畢竟硬件不支持這種算法。

好在廠家的IP核中有相關(guān)的平方根IP庫,所以用起來也很方便。

上圖是在QUARTUS下調(diào)用庫中的IP核,綜合適配后的資源使用情況,邏輯單元使用的1369個,占總資源的22%,片上硬件乘法器使用了16個。可以說是在資源有限的情況下,使用資源量還是很大的。

前幾篇文章中,我們介紹了使用CORDIC算法計算三角函數(shù)sin和cos的值。計算三角函數(shù)sin和cos的值是利用CORDIC算法的旋轉(zhuǎn)模式來進行的。而在向量模式下,可以使用CORDIC算法計算平方根。

如圖,使用CORDIC算法計算平方根,F(xiàn)PGA資源的使用情況。邏輯單元使用了10%,乘法器使用的6個,片上ram只是用的不到1%??梢哉f在資源有限的情況下,是非常好的選擇 。但是要注意,算法本身可使用流水線操作,也可使用其他方式操作,計算周期要根據(jù)迭代的次數(shù)決定,迭代次數(shù)越大,計算越精確,同樣,計算的周期也越長。

上圖是迭代16次后的結(jié)果,可以清楚地看到,輸入xi,yi,輸出sqrt_out,結(jié)果非常精確。在時序不是很緊的情況下,可以使用這種方法。

具體詳細(xì)的算法,可根據(jù)之前介紹的CORDIC算法自行推理。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600624
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1590

    瀏覽量

    80301
  • 乘法器
    +關(guān)注

    關(guān)注

    8

    文章

    204

    瀏覽量

    36905

原文標(biāo)題:FPGA中的平方根

文章出處:【微信號:ALIFPGA,微信公眾號:FPGA極客空間】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    如何打印浮動閥以及平方根平方根函數(shù)?

    如何打印浮動閥以及平方根平方根函數(shù)。是否有任何庫來實現(xiàn)這些功能。
    發(fā)表于 09-20 12:45

    請問怎樣去設(shè)計平方根計算模擬電路?

    怎樣去設(shè)計平方根計算模擬電路?如何對平方根計算模擬電路進行測試?
    發(fā)表于 04-20 06:54

    MCU裸系統(tǒng)下快速平方根實現(xiàn)相關(guān)資料推薦

    很多MCU平臺均沒有支持完整的數(shù)學(xué)運算指令,此時如果計算算術(shù)平方根就需要利用軟件函數(shù)庫,但是這些庫代碼一般都會占用不少的ROM空間,當(dāng)ROM區(qū)域特別緊張時可能無法利用現(xiàn)成的庫代碼,此時就要自己實現(xiàn)
    發(fā)表于 12-08 08:26

    數(shù)字信號處理平方根VHDL代碼

    硬件求解平方根的IP 將license添加到原有的MaxplusII或QuartusII的license中就可以直接使用,但源代碼加密。 altera提供
    發(fā)表于 05-20 11:10 ?56次下載

    平方根電路

    平方根電路
    發(fā)表于 02-23 21:56 ?1666次閱讀
    <b class='flag-5'>平方根</b><b class='flag-5'>電路</b>

    寬動態(tài)范圍的平方根電路

    寬動態(tài)范圍的平方根電路
    發(fā)表于 04-09 10:26 ?489次閱讀
    寬動態(tài)范圍的<b class='flag-5'>平方根</b><b class='flag-5'>電路</b>

    頻率平方根運算電路

    頻率平方根運算電路
    發(fā)表于 04-09 10:31 ?610次閱讀
    頻率<b class='flag-5'>平方根</b>運算<b class='flag-5'>電路</b>

    平方根運算電路

    平方根運算電路
    發(fā)表于 04-09 10:33 ?1734次閱讀
    <b class='flag-5'>平方根</b>運算<b class='flag-5'>電路</b>

    采用ICL8013的平方根運算電路

    采用ICL8013的平方根運算電路
    發(fā)表于 07-17 11:23 ?1155次閱讀
    采用ICL8013的<b class='flag-5'>平方根</b>運算<b class='flag-5'>電路</b>圖

    平方根運算電路

    平方根運算電路
    發(fā)表于 07-17 11:32 ?572次閱讀
    <b class='flag-5'>平方根</b>運算<b class='flag-5'>電路</b>圖

    可在各種運算電路中使用的平方根電路

    可在各種運算電路中使用的平方根電路 電路的功能 平方根電路用在
    發(fā)表于 05-08 16:41 ?2912次閱讀
    可在各種運算<b class='flag-5'>電路</b>中使用的<b class='flag-5'>平方根</b><b class='flag-5'>電路</b>

    平方根模式下的AD532模擬處理器電路

    平方根模式下的AD532模擬處理器電路顯示了連接模式為平方根,ADS532模擬處理器芯片。類似的分工模式與反饋運算放大器連接輸出。
    發(fā)表于 03-14 10:26 ?3942次閱讀
    <b class='flag-5'>平方根</b>模式下的AD532模擬處理器<b class='flag-5'>電路</b>

    采用MOSFET器件實現(xiàn)模擬平方根計算裝置的設(shè)計

    在儀表和測量系統(tǒng)中廣泛使用了平方根計算電路,例如:用于計算任意波形rms (均方根)等任務(wù)。因此,設(shè)計師需要有一種高效的模擬平方根計算裝置。由于制造商常采用MOS技術(shù)制造IC,采用基于
    發(fā)表于 08-12 14:35 ?1384次閱讀
    采用MOSFET器件<b class='flag-5'>實現(xiàn)</b>模擬<b class='flag-5'>平方根</b>計算裝置的設(shè)計

    MCU裸系統(tǒng)下快速平方根實現(xiàn)

    很多MCU平臺均沒有支持完整的數(shù)學(xué)運算指令,此時如果計算算術(shù)平方根就需要利用軟件函數(shù)庫,但是這些庫代碼一般都會占用不少的ROM空間,當(dāng)ROM區(qū)域特別緊張時可能無法利用現(xiàn)成的庫代碼,此時就要自己實現(xiàn)
    發(fā)表于 11-25 19:06 ?8次下載
    MCU裸系統(tǒng)下快速<b class='flag-5'>平方根</b><b class='flag-5'>實現(xiàn)</b>

    如何使用Java來求解平方根

    在編程時,會遇到求平方根的問題,本次問題講到如何使用Java來求解平方根。
    的頭像 發(fā)表于 03-03 09:39 ?1099次閱讀
    如何使用Java來求解<b class='flag-5'>平方根</b>