0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

用FPGA DIY開(kāi)發(fā)板控制LCD1602滾動(dòng)顯示

英特爾 Altera視頻 ? 2018-06-20 13:16 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600533
  • lcd
    lcd
    +關(guān)注

    關(guān)注

    34

    文章

    4385

    瀏覽量

    166709
  • Altera
    +關(guān)注

    關(guān)注

    37

    文章

    774

    瀏覽量

    153771
  • DIY
    DIY
    +關(guān)注

    關(guān)注

    176

    文章

    885

    瀏覽量

    347841
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    LCD1602滾動(dòng)顯示相關(guān)資料推薦

    單片機(jī)中級(jí)項(xiàng)目2丨LCD1602滾動(dòng)顯示#includetypedef unsigned char uint8;typedef unsigned int uint16;***it rs=P2^6
    發(fā)表于 01-27 06:40

    基于FPGA開(kāi)發(fā)板的多功能液晶顯示控制器設(shè)計(jì)與實(shí)現(xiàn)

    本文就提出了一個(gè)基于FPGA的多功能LCD顯示器設(shè)計(jì)方案,只需要通過(guò)端口的使能參數(shù)配置便可以驅(qū)動(dòng)LCD1602模塊顯示字符、
    發(fā)表于 01-10 13:47 ?2721次閱讀
    基于<b class='flag-5'>FPGA</b><b class='flag-5'>開(kāi)發(fā)板</b>的多功能液晶<b class='flag-5'>顯示</b><b class='flag-5'>控制</b>器設(shè)計(jì)與實(shí)現(xiàn)

    LCD1602顯示漢字

    LCD1602顯示漢字,原本只能顯示字符。
    發(fā)表于 11-09 16:17 ?22次下載

    lcd1602顯示及注意點(diǎn)

    lcd1602顯示及注意點(diǎn),lcd1602顯示及注意點(diǎn)。
    發(fā)表于 12-28 12:01 ?0次下載

    時(shí)鐘lcd1602顯示

    時(shí)鐘lcd1602顯示
    發(fā)表于 12-27 21:14 ?12次下載

    lcd1602顯示原理,LCD1602液晶顯示器的分類有哪些?

    LCD1602是一種工業(yè)字符型液晶,能夠同時(shí)顯示16x02即32個(gè)字符。LCD1602液晶顯示原理 LCD1602液晶
    發(fā)表于 10-20 10:32 ?3.6w次閱讀

    lcd1602實(shí)現(xiàn)字幕滾動(dòng)原理_lcd1602滾動(dòng)顯示程序

    LCD1602 分為帶背光和不帶背光兩種,基控制器大部分為 HD44780,帶背光的比不帶背光的厚,是否帶背光在應(yīng)用中并無(wú)差別。本文主要詳解lcd1602實(shí)現(xiàn)字幕滾動(dòng)原理及
    發(fā)表于 05-31 09:38 ?4.3w次閱讀

    LCD1602 滾動(dòng)顯示功能

    zwsheng 的 LCD1602 滾動(dòng)視頻。
    的頭像 發(fā)表于 06-22 02:20 ?5123次閱讀
    <b class='flag-5'>LCD1602</b> <b class='flag-5'>滾動(dòng)</b><b class='flag-5'>顯示</b>功能

    利用FPGA DIY開(kāi)發(fā)板控制LCD1602實(shí)現(xiàn)逐步顯示字符

    playblong 的FPGA_DIYlcd1602逐步顯示字符視頻
    的頭像 發(fā)表于 06-20 09:09 ?4699次閱讀
    利用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開(kāi)發(fā)板</b><b class='flag-5'>控制</b><b class='flag-5'>LCD1602</b>實(shí)現(xiàn)逐步<b class='flag-5'>顯示</b>字符

    利用FPGA_DIY開(kāi)發(fā)板控制LCD1602實(shí)現(xiàn)滾動(dòng)字符顯示

    playblong 的FPGA_DIYlcd1602滾動(dòng)字符視頻
    的頭像 發(fā)表于 06-20 08:31 ?4284次閱讀
    利用<b class='flag-5'>FPGA_DIY</b><b class='flag-5'>開(kāi)發(fā)板</b><b class='flag-5'>控制</b><b class='flag-5'>LCD1602</b>實(shí)現(xiàn)<b class='flag-5'>滾動(dòng)</b>字符<b class='flag-5'>顯示</b>

    采用 FPGA DIY 開(kāi)發(fā)板實(shí)現(xiàn)LCD1602顯示

    簡(jiǎn)單的LCD1602顯示,顯示內(nèi)容“welcome to EEPW I am XiaoFeng”
    的頭像 發(fā)表于 06-20 14:01 ?5406次閱讀
    采用 <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開(kāi)發(fā)板</b>實(shí)現(xiàn)<b class='flag-5'>LCD1602</b><b class='flag-5'>顯示</b>

    使用單片機(jī)實(shí)現(xiàn)LCD1602滾動(dòng)顯示的程序免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)LCD1602滾動(dòng)顯示的程序免費(fèi)下載。
    發(fā)表于 06-15 17:23 ?27次下載
    使用單片機(jī)實(shí)現(xiàn)<b class='flag-5'>LCD1602</b><b class='flag-5'>滾動(dòng)</b><b class='flag-5'>顯示</b>的程序免費(fèi)下載

    PIC單片機(jī)實(shí)現(xiàn)LCD1602滾動(dòng)顯示字符串

    PIC單片機(jī)實(shí)現(xiàn)LCD1602滾動(dòng)顯示字符串
    發(fā)表于 11-16 11:51 ?15次下載
    PIC單片機(jī)實(shí)現(xiàn)<b class='flag-5'>LCD1602</b><b class='flag-5'>滾動(dòng)</b><b class='flag-5'>顯示</b>字符串

    單片機(jī)液晶滾動(dòng)顯示(AT89C51、LCD1602

    單片機(jī)液晶滾動(dòng)顯示(AT89C51、LCD1602)一、簡(jiǎn)介此電路由AT89C51最小系統(tǒng)和LCD1602液晶模塊組成??蓪?shí)現(xiàn)上線垂直滾動(dòng)、
    發(fā)表于 11-23 16:51 ?43次下載
    單片機(jī)液晶<b class='flag-5'>滾動(dòng)</b><b class='flag-5'>顯示</b>(AT89C51、<b class='flag-5'>LCD1602</b>)

    Proteus教程:LCD1602顯示

    Proteus教程:LCD1602顯示
    的頭像 發(fā)表于 06-14 11:21 ?3824次閱讀
    Proteus教程:<b class='flag-5'>LCD1602</b><b class='flag-5'>顯示</b>