0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

關(guān)于MAX 10 FPGA PLL和時鐘特性選項的培訓(xùn)

英特爾 Altera視頻 ? 2018-06-20 08:00 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600483
  • Altera
    +關(guān)注

    關(guān)注

    37

    文章

    774

    瀏覽量

    153769
收藏 人收藏

    評論

    相關(guān)推薦

    關(guān)于fpga中的pll問題

    本人小白一枚,求各路大神解決一下關(guān)于pll時鐘的問題,現(xiàn)在我發(fā)現(xiàn)pll輸出時鐘的設(shè)置好像有什么限制,并不是什么
    發(fā)表于 08-17 20:49

    請問FPGAPLL時鐘的問題

    請問,想通過FPGAPLL倍頻產(chǎn)生個500MHz的時鐘來使用,以此時鐘來做定時精密延遲,不知道PLL倍頻倍數(shù)有什么要求,比如好像有的器件支
    發(fā)表于 01-03 17:04

    MAX 10 FPGA特性

    10月1日正式開始提供的MAX 10 FPGA的一些特性,我們也來探究一下它是否真的“實至名歸”。圖1 Altera公司產(chǎn)品營銷資深總監(jiān)Pa
    發(fā)表于 07-01 08:14

    請問FPGA PLL產(chǎn)生的時鐘信號和AD9779A的數(shù)據(jù)時鐘信號的相位關(guān)系?

    你們好, 我們正在使用AD9779A進行設(shè)計,有如下疑問: (1) 使用AD9779A的數(shù)據(jù)時鐘信號(DATACLK)作為FPGA內(nèi)部PLL的參考時鐘,再用
    發(fā)表于 12-20 07:12

    基于FPGA 的新的DDS+PLL時鐘發(fā)生器

    針對直接數(shù)字頻率合成(DDS)和集成鎖相環(huán)(PLL)技術(shù)的特性,提出了一種新的DDS 激勵PLL 系統(tǒng)頻率合成時鐘發(fā)生器方案。且DDS 避免正弦查找表,即避免使用ROM,采用濾波的方法
    發(fā)表于 12-14 10:22 ?36次下載

    Xilinx FPGA普通IO作PLL時鐘輸入

    普通IO可以通過BUFG再連到PLL時鐘輸入上,但要修改PLL的設(shè)置 input clk的選項中要選擇"No Buffer";
    發(fā)表于 02-09 12:54 ?7103次閱讀
    Xilinx <b class='flag-5'>FPGA</b>普通IO作<b class='flag-5'>PLL</b><b class='flag-5'>時鐘</b>輸入

    Altera? MAX? 10 FPGA介紹(特性、優(yōu)勢、電路圖)

    控制面板,汽車電子,工業(yè)控制和消費類電子產(chǎn)品.本文介紹了Altera MAX 10 FPGA主要優(yōu)勢,Altera MAX 10
    發(fā)表于 05-22 10:11 ?5130次閱讀
    Altera? <b class='flag-5'>MAX</b>? <b class='flag-5'>10</b> <b class='flag-5'>FPGA</b>介紹(<b class='flag-5'>特性</b>、優(yōu)勢、電路圖)

    介紹MAX 10 FPGA中ADC的是如何運作及性能

    MAX 10 FPGA模擬模塊培訓(xùn),此次培訓(xùn)介紹MAX 10
    的頭像 發(fā)表于 06-20 12:00 ?4360次閱讀
    介紹<b class='flag-5'>MAX</b> <b class='flag-5'>10</b> <b class='flag-5'>FPGA</b>中ADC的是如何運作及性能

    介紹MAX 10 FPGA特性及優(yōu)點

    此次培訓(xùn)比較詳細介紹MAX 10系列,包括其特性和優(yōu)點,高層體系結(jié)構(gòu),以及密度和封裝產(chǎn)品等。此外,我們還有FPGA業(yè)界最好的設(shè)計工具和IP。
    的頭像 發(fā)表于 06-20 11:00 ?2873次閱讀
    介紹<b class='flag-5'>MAX</b> <b class='flag-5'>10</b> <b class='flag-5'>FPGA</b>的<b class='flag-5'>特性</b>及優(yōu)點

    MAX 10 FPGA GPIO的特點優(yōu)勢

    MAX 10 FPGA GPIO培訓(xùn),可編程邏輯一般用作膠合邏輯,連接電路板上的大量數(shù)字邏輯器件,這些器件通常有不同的I/O標準、電壓電平和協(xié)議。這里列出了我們I/O
    的頭像 發(fā)表于 06-20 05:00 ?2601次閱讀
    <b class='flag-5'>MAX</b> <b class='flag-5'>10</b> <b class='flag-5'>FPGA</b> GPIO的特點優(yōu)勢

    介紹MAX 10 FPGA的特點及應(yīng)用

    此次培訓(xùn)涉及到MAX 10 FPGA所支持的配置方法,介紹非易失集成、瞬時接通配置和雙配置鏡像的價值所在。
    的頭像 發(fā)表于 06-20 08:00 ?2568次閱讀

    FPGA設(shè)計:PLL 配置后的復(fù)位設(shè)計

    先用FPGA的外部輸入時鐘clk將FPGA的輸入復(fù)位信號rst_n做異步復(fù)位、同步釋放處理,然后這個復(fù)位信號輸入PLL,同時將clk也輸入PLL
    發(fā)表于 03-29 17:19 ?2745次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計:<b class='flag-5'>PLL</b> 配置后的復(fù)位設(shè)計

    關(guān)于UART/CAN/PLL時鐘計算波特率的方法

    SWM系列關(guān)于UART/CAN/PLL時鐘相關(guān)模塊,計算波特率的方法。
    的頭像 發(fā)表于 03-18 16:52 ?7507次閱讀

    FPGA的鎖相環(huán)PLL給外圍芯片提供時鐘

    FPGA的鎖相環(huán)PLL給外圍芯片提供時鐘 FPGA鎖相環(huán)PLL(Phase-Locked Loop)是一種廣泛使用的
    的頭像 發(fā)表于 09-02 15:12 ?2745次閱讀

    FPGA時鐘電路結(jié)構(gòu)原理

    FPGA 中包含一些全局時鐘資源。以AMD公司近年的主流FPGA為例,這些時鐘資源由CMT(時鐘管理器)產(chǎn)生,包括DCM、
    發(fā)表于 04-25 12:58 ?1568次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時鐘</b>電路結(jié)構(gòu)原理