0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

采用 FPGA DIY 開發(fā)板實(shí)現(xiàn)花樣流水燈功能

英特爾 Altera視頻 ? 2018-06-20 01:07 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600514
  • Altera
    +關(guān)注

    關(guān)注

    37

    文章

    774

    瀏覽量

    153770
  • DIY
    DIY
    +關(guān)注

    關(guān)注

    176

    文章

    885

    瀏覽量

    347839
  • 流水燈
    +關(guān)注

    關(guān)注

    21

    文章

    432

    瀏覽量

    59557
收藏 人收藏

    評論

    相關(guān)推薦

    花樣流水燈

    流水燈的基礎(chǔ)程序,適合學(xué)流水燈者。/*流水燈花樣流動*/頭文件宏定義主函數(shù) 主循環(huán) 流水燈函數(shù); //
    發(fā)表于 05-06 19:05

    花樣流水燈設(shè)計(jì)

    花樣流水燈設(shè)計(jì)要求:①編寫一單片機(jī)程序實(shí)現(xiàn)8盞LED花樣流水燈效果;②按下按鍵1實(shí)現(xiàn)LED1--
    發(fā)表于 12-07 21:01

    如何上手FPGA實(shí)現(xiàn)簡單的流水燈效果

    配置選擇上篇【FPGA實(shí)驗(yàn)】流水燈實(shí)驗(yàn)記錄了如何上手FPGA實(shí)現(xiàn)簡單的流水燈效果,本篇將稍微升級一些,通過按鈕
    發(fā)表于 01-18 10:28

    基于EG4S20開發(fā)板實(shí)現(xiàn)硬件流水燈的設(shè)計(jì)方案

    1、基于EG4S20開發(fā)板實(shí)現(xiàn)硬件流水燈的設(shè)計(jì)在實(shí)驗(yàn)二中,我們提到了使用 GPIO 端口實(shí)現(xiàn)流水燈,其有一個(gè)致命的缺點(diǎn),就是處理器需要全程參與控制的過程,這使得處理器的執(zhí)行效率非常低。
    發(fā)表于 08-15 16:20

    心形花樣流水燈

    心形花樣流水燈基于51單片機(jī)程序設(shè)計(jì)電路圖等
    發(fā)表于 11-26 16:36 ?212次下載

    基于8051的Proteus仿真-花樣流水燈

    基于8051的Proteus仿真-花樣流水燈
    發(fā)表于 09-01 23:35 ?38次下載

    利用FPGA DIY開發(fā)板控制流水燈功能實(shí)現(xiàn)

    asean的 FPGA DIY 流水燈視頻
    的頭像 發(fā)表于 06-20 05:04 ?2934次閱讀
    利用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板</b>控制<b class='flag-5'>流水燈</b><b class='flag-5'>功能</b><b class='flag-5'>實(shí)現(xiàn)</b>

    采用FPGA DIY 開發(fā)板實(shí)現(xiàn)8個(gè)流水燈向左移功能

    FPGA diy作業(yè)實(shí)現(xiàn)8位LED輸出向左的流水燈
    的頭像 發(fā)表于 06-20 08:26 ?5382次閱讀
    <b class='flag-5'>采用</b><b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b>8個(gè)<b class='flag-5'>流水燈</b>向左移<b class='flag-5'>功能</b>

    采用FPGA DIY開發(fā)板實(shí)現(xiàn)花樣燈顯示

    asean的 FPGA DIY 花樣燈的視頻
    的頭像 發(fā)表于 06-20 10:26 ?3136次閱讀
    <b class='flag-5'>采用</b><b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b><b class='flag-5'>花樣</b>燈顯示

    通過 FPGA DIY 開發(fā)板實(shí)現(xiàn)花樣彩燈功能

    該視頻實(shí)現(xiàn)花樣彩燈功能,包含點(diǎn)亮LED 、從左到右,從右到左,中間往兩邊,兩邊往中間的流水燈;左到右,右到左的跑馬燈。每個(gè)功能之間,LED閃
    的頭像 發(fā)表于 06-20 04:07 ?4035次閱讀
    通過 <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b><b class='flag-5'>花樣</b>彩燈<b class='flag-5'>功能</b>

    采用 FPGA DIY開發(fā)板實(shí)現(xiàn)流水燈功能

    實(shí)現(xiàn)從右到左邊的流水燈,間隔1s
    的頭像 發(fā)表于 06-20 06:30 ?3846次閱讀
    <b class='flag-5'>采用</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b><b class='flag-5'>流水燈</b><b class='flag-5'>功能</b>

    采用FPGA DIY開發(fā)板實(shí)現(xiàn)流水燈功能

    D1、D2、D3、D4流水燈閃爍
    的頭像 發(fā)表于 06-20 06:28 ?3822次閱讀

    HME FPGA入門指導(dǎo):HME-P(飛馬)系列開發(fā)板實(shí)驗(yàn)教程——LED流水燈

    熟悉 HME FPGA PLL IP 的使用,實(shí)現(xiàn) LED 流水燈功能
    的頭像 發(fā)表于 05-30 10:04 ?1560次閱讀
    HME <b class='flag-5'>FPGA</b>入門指導(dǎo):HME-P(飛馬)系列<b class='flag-5'>開發(fā)板實(shí)</b>驗(yàn)教程——LED<b class='flag-5'>流水燈</b>

    基于FPGA開發(fā)板流水燈的設(shè)計(jì)實(shí)現(xiàn)

    流水燈,有時(shí)候也叫跑馬燈,是一個(gè)簡單、有趣又經(jīng)典的實(shí)驗(yàn),基本所有單片機(jī)的玩家們在初期學(xué)習(xí)的階段都做過。本次我們也來介紹一下如何通過小腳丫FPGA實(shí)現(xiàn)一個(gè)流水燈。
    發(fā)表于 06-20 17:10 ?1217次閱讀
    基于<b class='flag-5'>FPGA</b><b class='flag-5'>開發(fā)板</b><b class='flag-5'>流水燈</b>的設(shè)計(jì)<b class='flag-5'>實(shí)現(xiàn)</b>

    分享花樣流水燈源程序

    電子發(fā)燒友網(wǎng)站提供《分享花樣流水燈源程序.doc》資料免費(fèi)下載
    發(fā)表于 11-18 09:59 ?3次下載
    分享<b class='flag-5'>花樣</b><b class='flag-5'>流水燈</b>源程序