0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

簡談FPGA/Verilog中inout端口使用方法

FPGA學習交流 ? 2018-08-13 13:45 ? 次閱讀

大家好,又到了每日學習的時間了,今天我們來聊一聊FPGA/Verilog中inout端口使用方法。

輸入端口可以由wire/reg驅動,但輸入端口只能是wire;輸出端口可以使wire/reg類型,輸出端口只能驅動wire;若輸出端口在過程塊中賦值則為reg型,若在過程塊外賦值則為net型。用關鍵詞inout聲明一個雙向端口, inout端口不能聲明為reg類型,只能是wire類型;輸入和雙向端口不能聲明為寄存器類型。

INOUT引腳:

1.FPGA IO在做輸入時,可以用作高阻態(tài),這就是所說的高阻輸入;

2.FPGA IO在做輸出時,則可以直接用來輸入輸出。

芯片外部引腳很多都使用inout類型的,為的是節(jié)省管腿。就是一個端口同時做輸入和輸出。 inout在具體實現上一般用三態(tài)門來實現。三態(tài)門的第三個狀態(tài)就是高阻'Z'。當inout端口不輸出時,將三態(tài)門置高阻。這樣信號就不會因為兩端同時輸出而出錯了,更詳細的內容可以搜索一下三態(tài)門tri-state的資料.

1 使用inout類型數據,可以用如下寫法:
inout data;
reg data_in;
reg data_out;

//data為輸出時
reg en_output;
assign data_inout=en_output?data_out:1'bz;//en_output控制三態(tài)門
//對于data_out,可以通過組合邏輯或者時序邏輯根據data對其賦值.通過控制en_output的高低電平,從而設置data是輸出數據還是處于高阻態(tài),如果處于高阻態(tài),則此時當作輸入端口使用.en_output可以通過相關電路來控制.

2 編寫測試模塊時,對于inout類型的端口,需要定義成wire類型變量,而其它輸入端口都定義成reg類型,這兩者是有區(qū)別的.
當上面例子中的data_inout用作輸入時,需要賦值給data_inout,其余情況可以斷開.

此時可以用assign語句實現:assign data_inout=link?data_in_t:1'bz;

其中的link ,data_in_t是reg類型變量,在測試模塊中賦值.

另外,可以設置一個輸出端口觀察data_inout用作輸出的情況:
Wire data_out;
Assign data_out_t=(!link)?data_inout:1'bz;

但要注意給data_inout賦值的時候,link選通信號如何給呢?

首先測試文件給源文件的data_inout數據賦值,那只能在原INOUT數據為高阻態(tài)的時候才可以賦值,故link信號即該INOUT數據為高阻太時的控制信號。

當不需要測試文件給你data_inout數據賦值的時候,測試文件的data_inout接口因為高阻態(tài),從而不影響源文件data_inout接口的其他操作。

今天就聊到這里,各位,加油。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1624

    文章

    21538

    瀏覽量

    600470
收藏 人收藏

    評論

    相關推薦

    FPGA Verilog HDL代碼如何debug?

    ,共同進步。 歡迎加入FPGA技術微信交流群14群! 交流問題(一) Q:Verilog代碼如何debug?最近學習fpga,寫了不少verilog,開始思考如何debug的問題!c語
    發(fā)表于 09-24 19:16

    FPGA頻率測量的方法有哪些?

    FPGA在實際應用,頻率測量不可或缺,對于高頻及低頻信號的頻率測量,FPGA有哪些方法呢?提供Verilog源碼會更好。
    發(fā)表于 06-19 14:55

    FPGA研發(fā)設計相關規(guī)范(很實用)

    設計的考慮,子模塊輸出信號建議用寄存器; 13、內部模塊端口避免inout,最好在最頂層模塊處理雙向總線; 14、子模塊禁止使用三態(tài)邏輯,可以在頂層模塊使用; 15、禁止出現未連接的端口
    發(fā)表于 05-13 15:39

    Xilinx Zynq-7000嵌入式系統(tǒng)設計與實現

    今天給大俠帶來Xilinx Zynq-7000嵌入式系統(tǒng)設計與實現,話不多說,上貨。 Xilinx的ZYNQ系列FPGA是二種看上去對立面的思想的融合,ARM處理器的串行執(zhí)行+FP
    發(fā)表于 05-08 16:23

    FPGA研發(fā)設計相關規(guī)范(很實用)

    ,子模塊輸出信號建議用寄存器; 13、內部模塊端口避免inout,最好在最頂層模塊處理雙向總線; 14、子模塊禁止使用三態(tài)邏輯,可以在頂層模塊使用; 15、禁止出現未連接的端口; 1
    發(fā)表于 04-16 15:42

    veriloginput和output作用

    Verilog,input和output用于定義模塊的輸入和輸出端口。它們是用于通信的關鍵元素,定義了模塊與其它模塊之間的數據傳輸接口。通過input和output端口,模塊之間可
    的頭像 發(fā)表于 02-23 10:29 ?2551次閱讀

    verilog端口類型有哪三種

    Verilog ,端口類型有三種:輸入端口(input)、輸出端口(output)和雙向端口
    的頭像 發(fā)表于 02-23 10:28 ?1669次閱讀

    verilog調用模塊端口對應方式

    Verilog是一種硬件描述語言(HDL),廣泛應用于數字電路設計和硬件驗證。在Verilog,模塊是構建電路的基本單元,而模塊端口對應方式則用于描述模塊之間信號傳遞的方式。本文將介
    的頭像 發(fā)表于 02-23 10:20 ?1345次閱讀

    verilog雙向端口的使用

    輸出信號。本文將詳細介紹Verilog雙向端口的使用,并提供示例說明其在實際應用的作用。 第一部分:雙向端口的定義和語法 在Verilog
    的頭像 發(fā)表于 02-23 10:18 ?1099次閱讀

    inout類型怎么仿真

    InOut類型的仿真是指通過計算機軟件模擬和模擬硬件組件之間的輸入和輸出交互過程,以驗證和評估電子電路設計的正確性和性能。下面將詳細介紹InOut類型的仿真及其實現方法。 一、InOut
    的頭像 發(fā)表于 02-23 10:17 ?752次閱讀

    verilog inout用法與仿真

    Verilog語言是一種硬件描述語言(HDL),用于描述數字邏輯電路和系統(tǒng)。它是一種非常強大且廣泛使用的語言,在數字電路設計扮演著重要的角色。其中, inoutVerilog
    的頭像 發(fā)表于 02-23 10:15 ?2283次閱讀

    verilog函數和任務對比

    verilog,函數和任務均用來描述共同的代碼段,并且在模式內任意位置被調用,提高代碼效率,讓代碼更加的直觀,提高代碼可讀性。但是在實際使用的過程,函數和任務也存在諸多的不同,下面將對而這進行
    的頭像 發(fā)表于 02-12 18:43 ?798次閱讀

    FPGA的片內資源

    FPGA的片內資源
    發(fā)表于 01-08 22:12

    示波器探頭接地彈簧的使用方法和注意事項

    使用方法和注意事項,以下將進行詳細介紹。 一、示波器探頭接地彈簧的使用方法 1. 將探頭連接到示波器的輸入端口。探頭一般有兩個連接端口,一個是探頭接地
    的頭像 發(fā)表于 01-08 15:29 ?1740次閱讀

    PCBA焊接電路電烙鐵的使用方法

    PCBA焊接電路電烙鐵的使用方法的相關知識。
    的頭像 發(fā)表于 12-26 10:27 ?674次閱讀