0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

1-Wire總線的基本通信協(xié)議與多點(diǎn)測溫系統(tǒng)仿真實(shí)例分析

電子設(shè)計(jì) ? 來源:今日電子 ? 作者:劉興賓 ? 2020-04-13 08:00 ? 次閱讀

1、1-Wire總線的基本通信協(xié)議

作為一種單主機(jī)多從機(jī)的總線系統(tǒng),在一條1-Wire總線上可掛接的從器件數(shù)量幾乎不受限制。為了不引起邏輯上的沖突,所有從器件的1-Wire總線接口都是漏極開路的,因此在使用時(shí)必須對總線外加上拉電阻(一般取5kΩ左右)。主機(jī)對1-Wire總線的基本操作分為復(fù)位、讀和寫三種,其中所有的讀寫操作均為低位在前高位在后。復(fù)位、讀和寫是1-Wire總線通信的基礎(chǔ),下面通過具體程序詳細(xì)介紹這3種操作的時(shí)序要求。(程序中DQ代表1-Wire總線,定義為P1.0,uchar定義為unsigned char)

1 1-Wire總線的復(fù)位

復(fù)位是1-Wire總線通信中最為重要的一種操作,在每次總線通信之前主機(jī)必須首先發(fā)送復(fù)位信號。如程序1.1所示,產(chǎn)生復(fù)位信號時(shí)主機(jī)首先將總線拉低480~960μs然后釋放,由于上拉電阻的存在,此時(shí)總線變?yōu)楦唠娖健?-Wire總線器件在接收到有效跳變的15~60μs內(nèi)會(huì)將總線拉低60~240μs,在此期間主機(jī)可以通過對DQ采樣來判斷是否有從器件掛接在當(dāng)前總線上。函數(shù)Reset()的返回值為0表示有器件掛接在總線上,返回值為1表示沒有器件掛接在總線上。

程序1.1 總線復(fù)位

uchar Reset(void)

{

uchar tdq;

DQ=0; //主機(jī)拉低總線

delay480μs(); //等待480μs

DQ=1; //主機(jī)釋放總線

delay60μs(); //等待60μs

tdq=DQ; //主機(jī)對總線采樣

delay480μs(); //等待復(fù)位結(jié)束

return tdq; //返回采樣值

}

2、 1-Wire總線的寫操作

由于只有一條I/O線,主機(jī)1-Wire總線的寫操作只能逐位進(jìn)行,連續(xù)寫8次即可寫入總線一個(gè)字節(jié)。如程序1.2所示,當(dāng)MCS-51單片機(jī)時(shí)鐘頻率為12MHz時(shí),程序中的語句_nop_();可以產(chǎn)生1μs的延時(shí),調(diào)用此函數(shù)時(shí)需包含頭文件“intrins.h”。向1-Wire總線寫1bit至少需要60μs,同時(shí)還要保證兩次連續(xù)的寫操作有1μs以上的間隔。若待寫位wbit為0則主機(jī)拉低總線60μs然后釋放,寫0操作完成。若待寫位wbit為1,則主機(jī)拉低總線并在1~15μs內(nèi)釋放,然后等待60μs,寫1操作完成。

程序1.2 向總線寫1bit

void Writebit(uchar wbit)

{

_nop_();

//保證兩次寫操作間隔1μs以上

DQ=0;

_nop_();

//保證主機(jī)拉低總線1μs以上

if(wbit)

{

//向總線寫1

DQ=1;

delay60μs();

}

else

{

//向總線寫0

delay60μs();

DQ=1;

}

}

3、 1-Wire總線的讀操作

與寫操作類似,主機(jī)對1-Wire總線的讀操作也只能逐位進(jìn)行,連續(xù)讀8次,即可讀入主機(jī)一個(gè)字節(jié)。從1-Wire總線讀取1bit同樣至少需要60μs,同時(shí)也要保證兩次連續(xù)的讀操作間隔1μs以上。如程序1.3所示,從總線讀數(shù)據(jù)時(shí),主機(jī)首先拉低總線1μs以上然后釋放,在釋放總線后的1~15μs內(nèi)主機(jī)對總線的采樣值即為讀取到的數(shù)據(jù)。

程序1.3 從總線讀1bit

uchar Readbit()

{

uchar tdq;

_nop_();

//保證兩次連續(xù)寫操作間隔1μs以上

DQ=0;

_nop_();

//保證拉低總線的時(shí)間不少于1μs

DQ=1;

_nop_();

tdq=DQ;

//主機(jī)對總線采樣

delay60μs();

//等待讀操作結(jié)束

return tdq;

//返回讀取到的數(shù)據(jù)

}

數(shù)字溫度傳感器DS18B20

1 DS18B20的基本特性

● 采用1-Wire總線接口,可以方便實(shí)現(xiàn)多點(diǎn)測溫。

● 與主機(jī)連接方便,除5kΩ的總線上拉電阻外無須其他額外器件。

電源電壓范圍為3.0~5.5V,與3.3V和5V數(shù)字系統(tǒng)均可很好地兼容。

● 測量范圍為-55~+125℃,分辨率為9~12位可編程

● 通過編程可設(shè)置溫度報(bào)警上下限,設(shè)置值掉電不丟失。

● 內(nèi)部集成了用于器件尋址的64bit光刻ROM編碼。

2 DS18B20中的存儲(chǔ)器

在DS18B20中共有三種存儲(chǔ)器,分別是ROM、RAM、EEPROM,每種存儲(chǔ)器都有其特定的功能,可查閱相關(guān)資料

3 1-Wire總線ROM功能命令

在DS18B20內(nèi)部光刻了一個(gè)長度為64bit的ROM編碼,這個(gè)編碼是器件的身份識(shí)別標(biāo)志。當(dāng)總線上掛接著多個(gè)DS18B20時(shí)可以通過ROM編碼對特定器件進(jìn)行操作。ROM功能命令是針對器件的ROM編碼進(jìn)行操作的命令,共有5個(gè),長度均為8bit(1Byte)。

①讀ROM(33H)

當(dāng)掛接在總線上的1-Wire總線器件接收到此命令時(shí),會(huì)在主機(jī)讀操作的配合下將自身的ROM編碼按由低位到高位的順序依次發(fā)送給主機(jī)。總線上掛接有多個(gè)DS18B20時(shí),此命令會(huì)使所有器件同時(shí)向主機(jī)傳送自身的ROM編碼,這將導(dǎo)致數(shù)據(jù)的沖突。

②匹配ROM(55H)

主機(jī)在發(fā)送完此命令后,必須緊接著發(fā)送一個(gè)64bit的ROM編碼,與此ROM編碼匹配的從器件會(huì)響應(yīng)主機(jī)的后續(xù)命令,而其他從器件則處于等待狀態(tài)。該命令主要用于選擇總線上的特定器件進(jìn)行訪問。

③跳過ROM(CCH)

發(fā)送此命令后,主機(jī)不必提供ROM編碼即可對從器件進(jìn)行訪問。與讀ROM命令類似,該命令同樣只適用于單節(jié)點(diǎn)的1-Wire總線系統(tǒng),當(dāng)總線上有多個(gè)器件掛接時(shí)會(huì)引起數(shù)據(jù)的沖突。

④查找ROM(F0H)

當(dāng)主機(jī)不知道總線上器件的ROM編碼時(shí),可以使用此命令并配合特定的算法查找出總線上從器件的數(shù)量和各個(gè)從器件的ROM編碼。

⑤報(bào)警查找(ECH)

此命令用于查找總線上滿足報(bào)警條件的DS18B20,通過報(bào)警查找命令并配合特定的查找算法,可以查找出總線上滿足報(bào)警條件的器件數(shù)目和各個(gè)器件的ROM編碼。

4 、DS18B20器件功能命令

與1-Wire總線相關(guān)的命令分為ROM功能命令和器件功能命令兩種,ROM功能命令具有通用性,不僅適用于DS18B20也適用于其他具有1-Wire總線接口的器件,主要用于器件的識(shí)別與尋址;器件功能命令具有專用性,它們與器件的具體功能緊密相關(guān)。下面是DS18B20的器件功能命令。

①啟動(dòng)溫度轉(zhuǎn)換(44H)

該命令發(fā)送完成后,主機(jī)可以通過調(diào)用Readbit()函數(shù)判斷溫度轉(zhuǎn)換是否完成,若Readbit()的返回值為0則表示轉(zhuǎn)換正在進(jìn)行,若Readbit()的返回值為1則表示轉(zhuǎn)換完成。

②讀RAM(BEH)

該命令發(fā)送完成后,主機(jī)可以通過調(diào)用Readbit()函數(shù)將DS18B20中RAM的內(nèi)容從低位到高位依次讀出。

③寫RAM(4EH)

該命令發(fā)出后,主機(jī)隨后寫入1-Wire總線的3字節(jié)將依次被存儲(chǔ)到DS18B20的報(bào)警上限、報(bào)警下限和配置寄存器中。

④復(fù)制RAM(48H)

該命令會(huì)將DS18B20的報(bào)警上限、報(bào)警下限和配置寄存器中的內(nèi)容復(fù)制到EEPROM中。該命令發(fā)出后,主機(jī)可以通過調(diào)用Readbit()函數(shù)判斷復(fù)制操作是否完成,若Readbit()的返回值為1,則表示復(fù)制操作完成。

⑤回讀EEPROM(B8H)

該命令會(huì)將存儲(chǔ)在EEPROM中的報(bào)警上限、報(bào)警下限和配置寄器的內(nèi)容回讀到RAM中,主機(jī)可以通過調(diào)用Readbit()函數(shù)判斷回讀操作是否完成,若Readbit()的返回值為1則表示回讀操作完成。DS18B20在上電時(shí)會(huì)自動(dòng)進(jìn)行一次回讀操作。

1-Wire總線的基本通信協(xié)議與多點(diǎn)測溫系統(tǒng)仿真實(shí)例分析

5、 主機(jī)與DS18B20的通信流程

如圖1所示,主機(jī)通過1-Wire總線接口對DS18B20的每次訪問都以復(fù)位信號和ROM功能命令開始,訪問的結(jié)束位置是不確定的,這與具體的功能命令相關(guān)。圖中圓角矩形中的操作與主機(jī)發(fā)送的功能命令相對應(yīng),隨著功能命令的不同圓角矩形中的操作有時(shí)可以被省略。對總線上的DS18B20來說,復(fù)位信號意味著又一次通信的開始,器件對此的響應(yīng)是拉低總線以告知主機(jī)自身的存在,然后準(zhǔn)備接收ROM功能命令。

多點(diǎn)測溫系統(tǒng)仿真實(shí)例

DS18B20是一種比較廉價(jià)的溫度傳感器,其封封裝形式如圖2所示。在Proteus中包含有DS18B20的仿真模型,這使得相關(guān)程序的調(diào)試變得簡單方便。下面以一個(gè)實(shí)例介紹用Proteus仿真多點(diǎn)測溫系統(tǒng)的步驟。

① 繪制仿真原理圖

如圖3所示,在本實(shí)例中以單片機(jī)AT89C52和8個(gè)DS18B20構(gòu)成了一個(gè)多點(diǎn)測溫系統(tǒng)。為了有足夠的空間存儲(chǔ)各個(gè)DS18B20的ROM編碼和溫度值,在實(shí)例中用一片8KB的SRAM芯片6116對單片機(jī)的RAM進(jìn)行了擴(kuò)展。

②設(shè)置DS18B20仿真模型的屬性

首先右擊選中protues編輯區(qū)中的DS18B20仿真模型然后再左擊,此時(shí)彈出如圖4所示的屬性設(shè)置對話框。其中,F(xiàn)amily Code是器件的家族碼,對于DS18B20來說是28H。ROM Serial Number對應(yīng)于器件的48bit序列號,格式為十六進(jìn)制,在填寫過程中要保證同一條1-Wire總線上所有仿真模型的ROM Serial Number都不相同。Automatic SerializatiON設(shè)置為No時(shí)仿真模型將使用ROM Serial Number中的序列號,設(shè)置為Yes時(shí)模型的序列號將由仿真環(huán)境自動(dòng)生成,在此設(shè)置為Yes,這樣可以免去手動(dòng)修改ROM Serial Number的麻煩。Current Value中是仿真模型當(dāng)前的溫度值。Cranularity中是單擊仿真模型的溫度值增減按鈕時(shí)溫度值的改變量,在此設(shè)置為1.1。其他選項(xiàng)保持默認(rèn)即可。單擊OK按鈕,設(shè)置完成。

③編制源程序

主機(jī)是通過Reset()、Readbit()、Writebit()三種基本操作與1-Wire總線進(jìn)行通信的,只要這三個(gè)函數(shù)的時(shí)序準(zhǔn)確,那么對于有一定C語言編程基礎(chǔ)的用戶來說程序其他部分的編寫將不是難事,按照前面介紹的流程向總線發(fā)送功能命令并進(jìn)行相應(yīng)讀寫操作即可。多點(diǎn)測溫系統(tǒng)編程的難點(diǎn)在于器件的查找,系統(tǒng)上電時(shí)主機(jī)首先要查找總線上掛接著多少個(gè)1-Wire器件并將各個(gè)器件的ROM編碼讀入單片機(jī)的RAM中,這需要一套復(fù)雜的算法,限于篇幅關(guān)于此算法在此不再詳述。本仿真實(shí)例大體工作過程如圖3右下角注釋部分所示,“查找總線上所有器件的ROM編碼并存儲(chǔ)”這一步可以由uchar B20ReadROM(uchar B20ROM[]函數(shù)完成,該函數(shù)的返回值是查找到的器件數(shù)目,各個(gè)器件的ROM編碼將存儲(chǔ)在二維數(shù)組B20ROM[]中。

“統(tǒng)一開始溫度轉(zhuǎn)換”的通信流程為:發(fā)送復(fù)位信號;發(fā)送跳過ROM(CCH)命令;發(fā)送啟動(dòng)溫度轉(zhuǎn)換(44H)命令。

“逐器件讀取溫度值”的通信流程為:發(fā)送復(fù)位信號;發(fā)送匹配ROM(55H)命令;發(fā)送第i(i=0~7)個(gè)器件的ROM編碼;發(fā)送讀RAM(BEH)命令;讀取2字節(jié),其中低字節(jié)在前,高字節(jié)在后,讀取到的值符合溫度值數(shù)據(jù)格式。

④在Proteus中添加監(jiān)視變量

為了檢驗(yàn)程序運(yùn)行的正確與否,通常的做法是將運(yùn)行結(jié)果通過單片機(jī)的UART接口輸出到虛擬終端上,這種方法的缺點(diǎn)是會(huì)占用一定的單片機(jī)資源,在此介紹另外一種程序調(diào)試技巧——監(jiān)視變量。在Proteus的運(yùn)行狀態(tài)下點(diǎn)擊Debug→Watch Window會(huì)彈出監(jiān)視窗口(Watch Window),然后按下Alt+A鍵會(huì)彈出如圖5所示的添加存儲(chǔ)器條目對話框(Add Memory Item)。所謂監(jiān)視變量也就是監(jiān)視相應(yīng)存儲(chǔ)單元中的內(nèi)容,圖5中

Memory用于選擇待監(jiān)視變量所在的存儲(chǔ)器;Name用于填寫變量名稱,為了含義清晰該名稱最好與源程序中定義的變量名稱一致;Address用于填寫待監(jiān)視變量的地址;Data Type和Display Fomat用于設(shè)置數(shù)據(jù)格式和顯示格式。設(shè)置完成后單擊Add按鈕即可添加一個(gè)監(jiān)視變量。在本實(shí)例中將測量到的溫度值轉(zhuǎn)化成ACSLL碼字符串的格式存儲(chǔ)在二維數(shù)組TempBuffer中,因此Data Type選擇為ASCLLZ STring,Watch Window的最終結(jié)果如圖6所示。Value一欄中顯示的即為8個(gè)DS18B20測量到的溫度值,單擊仿真模型的溫度增減按鈕溫度值的改變會(huì)自動(dòng)映射在Watch Window中。

圖6中TempBuffer[i](i=0~7)的地址在Keil中可以按以下步驟得到:

● 單擊Keil工具欄中的按鈕,進(jìn)入調(diào)試狀態(tài)。

● 通過View→Output Window菜單調(diào)出Keil的Output Window,并選中Command標(biāo)簽。

● 在Output Window的命令輸入?yún)^(qū)輸入TempBuffer[i]然后回車即可得到TempBuffer[i]的地址,在本實(shí)例中i=0~7。對于非數(shù)組類型的變量在輸入時(shí)需要在變量名前加取地址符號&,如圖7所示。

責(zé)任編輯:gt


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 協(xié)議
    +關(guān)注

    關(guān)注

    2

    文章

    591

    瀏覽量

    39111
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3995

    瀏覽量

    133228
  • 總線
    +關(guān)注

    關(guān)注

    10

    文章

    2849

    瀏覽量

    87842
收藏 人收藏

    評論

    相關(guān)推薦

    基于DS2432的USB口1-Wire總線適配器的設(shè)計(jì)

    通信協(xié)議的要求,保證USB口與l-Wire器件正常、安全地通信。  晶體振蕩器電路主要由X1構(gòu)成,在整個(gè)系統(tǒng)中非常重要,可保證DS2490S
    發(fā)表于 07-11 07:24

    基于C++模板技術(shù)的1-wire總線編程

    這是一個(gè)比較簡單的 1-wire 總線 C++ 模板庫。這個(gè)庫由 4 個(gè)部分組成:1、1-wire 協(xié)議鏈路層接口類:ow_t定義了
    發(fā)表于 11-22 23:40

    1-wire總線的基本原理

    1-wire總線的基本原理:1-wire總線是Maxim 全資子公司,Dallas 的一項(xiàng)專有技術(shù)與目前多數(shù)標(biāo)準(zhǔn)串行數(shù)據(jù)通信方式,如S
    發(fā)表于 09-26 16:57 ?55次下載

    1-wire總線的基本原理

    1-wire總線的基本原理 1-wire總線是Maxim 全資子公司Dallas 的一項(xiàng)專有技術(shù)與目前多數(shù)標(biāo)準(zhǔn)串行數(shù)據(jù)通信方式如SP
    發(fā)表于 02-05 17:58 ?79次下載

    使用PIC讀寫1-wire EEPROM DS2430的實(shí)例

    使用PIC讀寫1-wire EEPROM DS2430的實(shí)例程序 ;--------------------------------------------------------------------;; 1-wire d
    發(fā)表于 01-16 11:29 ?1463次閱讀

    什么是1-Wire

    什么是1-Wire 定義:單線(加地線)通信協(xié)議。 1-Wire®串行存儲(chǔ)器產(chǎn)品通過單線連接為你的產(chǎn)品添加存儲(chǔ)器!
    發(fā)表于 04-20 23:22 ?3466次閱讀
    什么是<b class='flag-5'>1-Wire</b>

    用軟件實(shí)現(xiàn)1-Wire通信

    摘要:在沒有專用總線主機(jī)(如DS2480B、DS2490)的情況下,微處理器可以輕松地產(chǎn)生1-Wire時(shí)序信號。本應(yīng)用筆記給出了一個(gè)采用‘C’語言編寫、支持標(biāo)準(zhǔn)速率的1-Wire主機(jī)通信
    發(fā)表于 05-08 12:02 ?2191次閱讀
    用軟件實(shí)現(xiàn)<b class='flag-5'>1-Wire</b><b class='flag-5'>通信</b>

    1-Wire器件與8051系列單片機(jī)的軟件接口

    摘 要:分析1-Wire總線的硬件結(jié)構(gòu)和通信協(xié)議,結(jié)合實(shí)際應(yīng)用設(shè)計(jì)了1-Wire器件與8051系列單片機(jī)的軟件接口。 關(guān)鍵詞:
    發(fā)表于 05-17 12:40 ?1970次閱讀
    <b class='flag-5'>1-Wire</b>器件與8051系列單片機(jī)的軟件接口

    DS1820單總線(1-wire)數(shù)字溫度傳感器

      DS1820是美國DALLAS公司生產(chǎn)的一種單總線(1-wire)數(shù)字溫度傳感器,采用1-wire總線通信協(xié)議。具有獨(dú)特的單
    發(fā)表于 12-09 11:35 ?3760次閱讀
    DS1820單<b class='flag-5'>總線</b>(<b class='flag-5'>1-wire</b>)數(shù)字溫度傳感器

    孕龍科技發(fā)布最新總線協(xié)議分析模塊1-Wire組件

    孕龍科技發(fā)布最新總線協(xié)議分析模塊 1-Wire (Advanced)。 1-Wire 組件用于遠(yuǎn)程控制,常見于儲(chǔ)存器、加密儲(chǔ)存器、溫度傳感器
    發(fā)表于 05-30 16:35 ?1027次閱讀

    1-Wire總線主機(jī)

    Abstract: Communication with 1-Wire slave devices requires a 1-Wire master. There are numerous ways
    發(fā)表于 10-19 17:02 ?53次下載

    1-Wire通信協(xié)議的工作原理及優(yōu)勢介紹

    了解1-Wire?通信協(xié)議的工作原理及其相對于其他類型串行通信的優(yōu)勢、常見實(shí)施配置,以及主流的1-Wire應(yīng)用
    的頭像 發(fā)表于 10-09 04:10 ?6883次閱讀

    使用NFC系統(tǒng)無線供電和訪問1-Wire網(wǎng)絡(luò)

    對于1-Wire網(wǎng)絡(luò)的感應(yīng)功率傳輸、訪問、控制和信息交換,可以利用近場通信(NFC)系統(tǒng)。利用從NFC鏈路收集的功率,可通過單個(gè)節(jié)點(diǎn)實(shí)現(xiàn)1-Wire
    的頭像 發(fā)表于 12-22 11:50 ?3160次閱讀
    使用NFC<b class='flag-5'>系統(tǒng)</b>無線供電和訪問<b class='flag-5'>1-Wire</b>網(wǎng)絡(luò)

    可靠的長線1-Wire網(wǎng)絡(luò)指南

    1-Wire協(xié)議最初設(shè)計(jì)用于通過短連接與附近設(shè)備進(jìn)行通信。1-Wire也是在單個(gè)微處理器端口引腳上增加輔助存儲(chǔ)器的一種方式。后來開發(fā)的方法將1-Wi
    的頭像 發(fā)表于 03-01 14:21 ?1651次閱讀
    可靠的長線<b class='flag-5'>1-Wire</b>網(wǎng)絡(luò)指南

    使用UART實(shí)現(xiàn)1-Wire總線主控

    本應(yīng)用筆記解釋了如何使用微處理器的UART實(shí)現(xiàn)1-Wire總線主機(jī)。它包括對所需電氣接口、UART配置以及UART和1-Wire信號之間的時(shí)序關(guān)系的說明。此外,它還參考了UART 1-Wire
    的頭像 發(fā)表于 03-29 11:28 ?3428次閱讀
    使用UART實(shí)現(xiàn)<b class='flag-5'>1-Wire</b><b class='flag-5'>總線</b>主控