0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

MFSK調(diào)制解調(diào)的原理及采用FPGA實現(xiàn)設(shè)計

電子設(shè)計 ? 來源:郭婷 ? 作者:電子設(shè)計 ? 2019-01-07 08:07 ? 次閱讀

數(shù)字信號傳輸系統(tǒng)分為基帶傳輸系統(tǒng)和頻帶傳輸系統(tǒng).頻帶傳輸系統(tǒng)也叫數(shù)字調(diào)制系統(tǒng)。數(shù)字調(diào)制信號又稱為鍵控信號,數(shù)字調(diào)制過程中處理的是數(shù)字信號,而載波有振幅、頻率和相位3個變量,且二進(jìn)制的信號只有高低電平兩個邏輯量1和0,所以調(diào)制的過程可用鍵控的方法由基帶信號對載頻信號的振幅、頻率及相位進(jìn)行調(diào)制,最基本的方法有3種:正交幅度調(diào)制(QAM)、頻移鍵控(FSK)、相移鍵控(PSK).根據(jù)所處理的基帶信號的進(jìn)制不同分為二進(jìn)制和多進(jìn)制調(diào)制(M 進(jìn)制).多進(jìn)制數(shù)字調(diào)制與二進(jìn)制相比,其頻譜利用率更高。本文研究了基于FPGA的MFSK(多頻鍵控)調(diào)制電路的實現(xiàn)方法,并給出了MAX+PLUSII環(huán)境下的仿真結(jié)果。

1MFSK簡介

MFSK系統(tǒng)是2FSK(二頻鍵控)系統(tǒng)的推廣,該系統(tǒng)有M個不同的載波頻率可供選擇,每一個載波頻率對應(yīng)一個M進(jìn)制碼元信息,即用多個頻率不同的正弦波分別代表不同的數(shù)字信號,在某一碼元時間內(nèi)只發(fā)送其中一個頻率。MFSK信號可表示為:

MFSK調(diào)制解調(diào)的原理及采用FPGA實現(xiàn)設(shè)計

為載波角頻率,通常采用相位不連續(xù)的振蕩頻率,這樣便于利用合成器來提供穩(wěn)定的信號頻率。圖1 為MFSK系統(tǒng)的原理框圖。在發(fā)送端,輸入的二進(jìn)制碼元經(jīng)過邏輯電路和串/并變換電路轉(zhuǎn)換為M進(jìn)制碼元,每k位二進(jìn)制碼分為一組,用來選擇不同的發(fā)送頻率。在接收端,當(dāng)某一載波頻率到來時,只有相應(yīng)頻率的帶通濾波器能收到信號,其它帶通濾波器輸出的都是噪聲。抽樣判決器的任務(wù)就是在某一時刻比較所有包絡(luò)檢波器的輸出電壓,通過選擇最大值來進(jìn)行判決。將最大值輸出就得到一個M進(jìn)制碼元,然后,再經(jīng)過邏輯電路轉(zhuǎn)換成k位二進(jìn)制并行碼,再經(jīng)過并/串變換電路轉(zhuǎn)換成串行二進(jìn)制碼,從而完成解調(diào)過程。

MFSK調(diào)制解調(diào)的原理及采用FPGA實現(xiàn)設(shè)計

圖1 MFSK系統(tǒng)原理框圖

2MFSK調(diào)制電路的FPGA實現(xiàn)

2.1基于FPGA的MFSK調(diào)制電路方框圖

調(diào)制電路方框圖如圖2所示?;鶐盘柾ㄟ^串/并轉(zhuǎn)換得到2位并行信號;四選一開關(guān)根據(jù)兩位并行信號選擇相應(yīng)的載波輸出(例中M取4)。

MFSK調(diào)制解調(diào)的原理及采用FPGA實現(xiàn)設(shè)計

圖2 MFSK調(diào)制電路方框圖

2.2MFSK調(diào)制電路VHDL程序

調(diào)制電路VHDL關(guān)鍵代碼如下:

entity MFSK is

port(clk :in std_logic; --系統(tǒng)時鐘

start :in std_logic; --開始調(diào)制信號

x :in std_logic; --基帶信號

y :out std_logic); --調(diào)制信號

end MFSK;

architecture behav of MFSK is

signal q :integer range 0 to 15; --計數(shù)器

signal f :std_logic_vector(3 downto 0); --分頻器

signal xx:std_logic_vector(1 downto 0); --寄存輸入信號x的2位寄存器

signal yy:std_logic_vector(1 downto 0); --寄存xx信號的寄存器

begin

process(clk) --此進(jìn)程過對clk進(jìn)行分頻,得到4種載波信號f3、f2、 f1、f0。

begin

if clk'event and clk='1' then

if start='0' then f<="0000";

elsif f="1111" then f<="0000";

else f<=f+1;

end if;

end if;

end process;

process(clk) --對輸入的基帶信號x進(jìn)行串/并轉(zhuǎn)換,得到2位并行信號的yy

begin

if clk'event and clk='1' then

if start='0' then q<=0;

elsif q=0 then q<=1;xx(1)<=x;yy<=xx;

elsif q=8 then q<=9;xx(0)<=x;

else q<=q+1;

end if;

end if;

end process;

process(clk,yy) --此進(jìn)程完成對輸入基帶信號x的MFSK調(diào)制

begin

if clk'event and clk='1' then

if start='0' then y<='0';???????????????? -- if語句完成2位碼并行碼到4種載波的選通

elsif yy="00" then y<=not f(3);

elsif yy="01" then y<=not f(2);

elsif yy="10" then y<=not f(1);

else y<=not f(0);

end if;

end if;

end process;

end behav;

2.3仿真結(jié)果

MAX+PLUSII環(huán)境下的仿真結(jié)果如圖3所示。

MFSK調(diào)制解調(diào)的原理及采用FPGA實現(xiàn)設(shè)計

圖3 MFSK調(diào)制程序仿真結(jié)果

注:中間信號yy與輸出調(diào)制信號y的對應(yīng)關(guān)系:“00”=f3;“01”=f2;“10”=f1;“11”=f0。

3結(jié)束語

多進(jìn)制數(shù)字調(diào)制技術(shù)與FPGA的結(jié)合使得通信系統(tǒng)的性能得到了迅速的提高。本文基于FPGA實現(xiàn)了MFSK調(diào)制電路部分,限于篇幅,沒有對解調(diào)部分的電路進(jìn)行討論。在實際應(yīng)用中,完全可以把調(diào)制部分和解調(diào)部分電路都集成到一片F(xiàn)PGA芯片內(nèi),這樣即提高了FPGA內(nèi)部結(jié)構(gòu)的利用率,又可以降低系統(tǒng)的成本。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600533
  • 噪聲
    +關(guān)注

    關(guān)注

    13

    文章

    1113

    瀏覽量

    47323
  • 數(shù)字信號
    +關(guān)注

    關(guān)注

    2

    文章

    936

    瀏覽量

    47477
收藏 人收藏

    評論

    相關(guān)推薦

    基于FPGA的AM調(diào)制解調(diào)調(diào)制采用ROM+任意載波頻率,解調(diào)采用FIR

    fpga調(diào)制解調(diào)載波FIR解調(diào)
    奔跑的小鑫
    發(fā)布于 :2022年09月26日 10:47:53

    哪位大神用FPGA實現(xiàn)過OFDM調(diào)制解調(diào)

    哪位大神用FPGA實現(xiàn)過OFDM調(diào)制解調(diào)?
    發(fā)表于 07-02 22:26

    分享一款不錯的基于FPGAMFSK調(diào)制電路的實現(xiàn)方法

    什么是MFSK?MFSK調(diào)制電路的FPGA實現(xiàn)
    發(fā)表于 04-08 06:10

    如何利用FPGA實現(xiàn)中頻調(diào)制解調(diào)系統(tǒng)?

    中頻調(diào)制解調(diào)系統(tǒng)具有哪些特點?如何利用FPGA實現(xiàn)中頻調(diào)制解調(diào)系統(tǒng)?
    發(fā)表于 04-28 07:21

    怎么實現(xiàn)基于FPGA的CDMA調(diào)制/解調(diào)模塊的設(shè)計?

    本文設(shè)計了一個基于FPGA 的直接序列擴(kuò)頻系統(tǒng)的水聲通信調(diào)制/解調(diào)系統(tǒng),目的在于使水聲無線通信中具有更強的抗干擾性和保密性,系統(tǒng)中包含了信號的擴(kuò)頻及BPSK 調(diào)制以及相應(yīng)的
    發(fā)表于 05-24 06:41

    OOK調(diào)制解調(diào)FPGA實現(xiàn),求Verilog代碼

    自己對FPGA剛開始學(xué)習(xí),但又特別需要用到FPGA實現(xiàn)OOK的調(diào)制解調(diào),求幫忙,由于是新人,還只有一個積分,太可憐了。求大神幫忙。
    發(fā)表于 11-26 16:11

    基于FPGA 的QPSK 調(diào)制解調(diào)電路設(shè)計與實現(xiàn)Design

    數(shù)字調(diào)制解調(diào)技術(shù)在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術(shù)與FPGA的結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個必然趨勢。文中介紹了QPSK調(diào)制解調(diào)的原
    發(fā)表于 06-09 09:06 ?125次下載

    基于FPGA的MSK調(diào)制解調(diào)器設(shè)計與應(yīng)用

    提出了一種基于FPGA 的數(shù)字MSK 調(diào)制解調(diào)器設(shè)計方法,應(yīng)用VHDL 語言進(jìn)行了模塊設(shè)計和時序仿真。硬件部分在Altera 公司 EP2C15AF256C8N FPGA實現(xiàn)。結(jié)果
    發(fā)表于 01-25 14:29 ?53次下載

    GMSK調(diào)制解調(diào)的數(shù)字實現(xiàn)方法

    分析了高斯濾波最小頻移鍵控( GMSK )調(diào)制解調(diào)存在的問題,研究了其原理與特點;詳細(xì)闡述了其在移動通信中的數(shù)字實現(xiàn)方法;給出了用FPGA實現(xiàn)
    發(fā)表于 07-08 17:05 ?73次下載
    GMSK<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>的數(shù)字<b class='flag-5'>實現(xiàn)</b>方法

    MFSK調(diào)制電路的FPGA設(shè)計與仿真

    頻移鍵控( FSK )是用不同頻率的載波來傳遞數(shù)字信號,并用數(shù)字基帶信號控制載波信號的頻率。筆者提出了一種基于DDS(Digital Direct Synthesizer)技術(shù)的MFSK調(diào)制器的FPGA
    發(fā)表于 08-05 14:27 ?100次下載
    <b class='flag-5'>MFSK</b><b class='flag-5'>調(diào)制</b>電路的<b class='flag-5'>FPGA</b>設(shè)計與仿真

    認(rèn)知無線電中自適應(yīng)調(diào)制解調(diào)器的FPGA實現(xiàn)

    在無線電中,分析調(diào)制解調(diào)器的轉(zhuǎn)換作用和,認(rèn)知無線電中自適應(yīng)調(diào)制解調(diào)器的FPGA實現(xiàn)
    發(fā)表于 10-13 16:44 ?45次下載
    認(rèn)知無線電中自適應(yīng)<b class='flag-5'>調(diào)制解調(diào)</b>器的<b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b>

    基于VHDL的基帶信號的MFSK調(diào)制

    --多進(jìn)制數(shù)字頻率調(diào)制( MFSK )系統(tǒng)VHDL程序 --文件名:MFSK --功能:基于 VHDL 硬件描述語言,完成對基帶信號的MFSK調(diào)制
    發(fā)表于 05-22 09:21 ?1128次閱讀

    DPPM調(diào)制解調(diào)技術(shù)的FPGA實現(xiàn)

    電子專業(yè)單片機(jī)開發(fā)中的學(xué)習(xí)教程資料——DPPM調(diào)制解調(diào)技術(shù)的FPGA實現(xiàn)
    發(fā)表于 08-08 14:45 ?0次下載

    基于ofdm的調(diào)制解調(diào)原理,ofdm調(diào)制解調(diào)fpga代碼

      摘要:OFDM是一種無線環(huán)境下的高速傳輸技術(shù)。本文主要總結(jié)了基于ofdm的調(diào)制解調(diào)原理以及OFDM 的FPGA代碼實現(xiàn),下面我們一起來看看原文。
    發(fā)表于 12-12 14:10 ?2.4w次閱讀
    基于ofdm的<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>原理,ofdm<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b><b class='flag-5'>fpga</b>代碼

    基于QPSK數(shù)字調(diào)制解調(diào)FPGA實現(xiàn)

    實現(xiàn),其具有頻譜利用率高、頻譜特性好、抗干擾性能強、傳輸速率快等特點。運用verilog編寫在QPSK調(diào)制解調(diào)代碼以及ISE自帶的IP CORE在Xilinx公司的FPGA平臺上測試,
    的頭像 發(fā)表于 02-20 07:50 ?2w次閱讀
    基于QPSK數(shù)字<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>的<b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b>