0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何利用FPGA設(shè)計(jì)一個(gè)跨時(shí)鐘域的同步策略?

電子工程師 ? 來源:未知 ? 作者:工程師李察 ? 2018-09-01 08:29 ? 次閱讀

基于FPGA的數(shù)字系統(tǒng)設(shè)計(jì)中大都推薦采用同步時(shí)序的設(shè)計(jì),也就是單時(shí)鐘系統(tǒng)。但是實(shí)際的工程中,純粹單時(shí)鐘系統(tǒng)設(shè)計(jì)的情況很少,特別是設(shè)計(jì)模塊與外圍芯片通信中,跨時(shí)鐘域的情況經(jīng)常不可避免。如果對(duì)跨時(shí)鐘域帶來的亞穩(wěn)態(tài)、采樣丟失、潛在邏輯錯(cuò)誤等等一系列問題處理不當(dāng),將導(dǎo)致系統(tǒng)無法運(yùn)行。本文總結(jié)出了幾種同步策略來解決跨時(shí)鐘域問題。

2 異步設(shè)計(jì)中的亞穩(wěn)態(tài)

觸發(fā)器是FPGA設(shè)計(jì)中最常用的基本器件。觸發(fā)器工作過程中存在數(shù)據(jù)的建立(setup)和保持(hold)時(shí)間。對(duì)于使用上升沿觸發(fā)的觸發(fā)器來說,建立時(shí)間就是在時(shí)鐘上升沿到來之前,觸發(fā)器數(shù)據(jù)端數(shù)據(jù)保持穩(wěn)定的最小時(shí)間。而保持時(shí)間是時(shí)鐘上升沿到來之后,觸發(fā)器數(shù)據(jù)端數(shù)據(jù)還應(yīng)該繼續(xù)保持穩(wěn)定的最小時(shí)間。我們把這段時(shí)間成為setup-hold時(shí)間(如圖1所示)。在這個(gè)時(shí)間參數(shù)內(nèi),輸入信號(hào)在時(shí)鐘的上升沿是不允許發(fā)生變化的。如果輸入信號(hào)在這段時(shí)間內(nèi)發(fā)生了變化,輸出結(jié)果將是不可知的,即亞穩(wěn)態(tài) (Metastability)。

如何利用FPGA設(shè)計(jì)一個(gè)跨時(shí)鐘域的同步策略?

一個(gè)信號(hào)在過渡到另一個(gè)時(shí)鐘域時(shí),如果僅僅用一個(gè)觸發(fā)器將其鎖存,那么采樣的結(jié)果將可能是亞穩(wěn)態(tài)。這也就是信號(hào)在跨時(shí)鐘域時(shí)應(yīng)該注意的問題。如圖2所示。

如何利用FPGA設(shè)計(jì)一個(gè)跨時(shí)鐘域的同步策略?

信號(hào)dat經(jīng)過一個(gè)鎖存器的輸出數(shù)據(jù)為a_dat.用時(shí)鐘b_clk進(jìn)行采樣的時(shí)候,如果a_dat正好在b_clk的setup-hold時(shí)間內(nèi)發(fā)生變化,此時(shí)b_ dat就既不是邏輯“1”,也不是邏輯“0”,而是處于中間狀態(tài)。經(jīng)過一段時(shí)間之后,有可能回升到高電平,也有可能降低到低電平。輸出信號(hào)處于中間狀態(tài)到恢復(fù)為邏輯“1”或邏輯“0”的這段時(shí)間,我們稱之為亞穩(wěn)態(tài)時(shí)間。

觸發(fā)器進(jìn)入亞穩(wěn)態(tài)的時(shí)間可以用參數(shù)MTBF(Mean Time Between Failures)來描述,MTBF即觸發(fā)器采樣失敗的時(shí)間間隔,表示為:

如何利用FPGA設(shè)計(jì)一個(gè)跨時(shí)鐘域的同步策略?

其中fclock表示系統(tǒng)時(shí)鐘頻率,fdata代表異步輸入信號(hào)的頻率,tmet代表不會(huì)引起故障的最長(zhǎng)亞穩(wěn)態(tài)時(shí)間,C1和C2分別為與器件特性相關(guān)的常數(shù)。如果MTBF很大,就認(rèn)為這個(gè)設(shè)計(jì)在實(shí)際工作中是能夠正常運(yùn)行的,不會(huì)因?yàn)閬喎€(wěn)態(tài)導(dǎo)致整個(gè)系統(tǒng)的失效。當(dāng)觸發(fā)器處于亞穩(wěn)態(tài),且處于亞穩(wěn)態(tài)的時(shí)間超過了一個(gè)時(shí)鐘周期,這種不確定的狀態(tài)還會(huì)影響到下一級(jí)的觸發(fā)器,最終導(dǎo)致連鎖反應(yīng),從而使整個(gè)系統(tǒng)功能失常。

3 同步策略

在異步設(shè)計(jì)中,完全避免亞穩(wěn)態(tài)是不可能的。因此,設(shè)計(jì)的基本思路應(yīng)該是:首先盡可能減少出現(xiàn)亞穩(wěn)態(tài)的可能性,其次是盡可能減少出現(xiàn)亞穩(wěn)態(tài)并給系統(tǒng)帶來危害的可能性。以下是根據(jù)實(shí)際工作總結(jié)出來的幾種同步策略。

3.1 雙鎖存器法

為了避免進(jìn)入亞穩(wěn)態(tài),應(yīng)當(dāng)使參數(shù)MTBF盡可能大。通常采用的方法是雙鎖存器法,即在一個(gè)信號(hào)進(jìn)入另一個(gè)時(shí)鐘域之前,將該信號(hào)用兩個(gè)鎖存器連續(xù)鎖存兩次(如圖3所示)。理論研究表明這種設(shè)計(jì)可以將出現(xiàn)亞穩(wěn)態(tài)的幾率降低到一個(gè)很小的程度,但這種方法同時(shí)帶來了對(duì)輸入信號(hào)的一級(jí)延時(shí),需要在設(shè)計(jì)時(shí)鐘的時(shí)候加以注意。

對(duì)于上面的雙鎖存器法,如果a_clk的頻率比b_clk的頻率高,將可能出現(xiàn)因?yàn)閐at變化太快,而使b_clk無法采樣的問題。即在信號(hào)從快時(shí)鐘域向慢時(shí)鐘域過渡的時(shí)候,如果信號(hào)變化太快,慢時(shí)鐘將可能無法對(duì)該信號(hào)進(jìn)行正確的采樣,所以在使用雙鎖存器法的時(shí)候,應(yīng)該使原始信號(hào)保持足夠長(zhǎng)的時(shí)間,以便另一個(gè)時(shí)鐘域的鎖存器可以正確地對(duì)其進(jìn)行采樣。

3.2 結(jié)繩法

由于雙鎖存器法在快時(shí)鐘域向慢時(shí)鐘域過渡中可能存在采樣失效的問題,我們引入了一種安全的跨時(shí)鐘域的方法:結(jié)繩法。結(jié)繩法適合任何時(shí)鐘域的過渡(clk1,clk2的頻率和相位關(guān)系可以任意選定),如圖4所示。

圖4中的_clk1表示該信號(hào)屬于clk1時(shí)鐘域,_clk2的信號(hào)表示該信號(hào)屬于clk2時(shí)鐘域。在兩次src_req_clk1之間被src_vld_clk1結(jié)繩(Pluse2Toggle)。將src_vld-clk1用雙鎖存器同步以后,該信號(hào)轉(zhuǎn)換為dst_req_clk2(Toggle2Pluse)。同理,用dst_vld_clk2將dat_req_clk2結(jié)繩,dst_vld_clk2表明在clk2時(shí)鐘域中,src_dat_clk1已經(jīng)可以進(jìn)行正確的采樣了。最后將dst_vld_clk2轉(zhuǎn)換為dst_ack_clk1(Synchronizer and Toggle2Pluse)。dst_ack_clk表明src_dat_clk1已經(jīng)被clk2正確采樣了,此后clk1時(shí)鐘域就可以安全地傳輸下一個(gè)數(shù)據(jù)了??梢钥闯?,結(jié)繩法的關(guān)鍵是將信號(hào)結(jié)繩以后,使其保持足夠長(zhǎng)的時(shí)間,以便另一個(gè)時(shí)鐘可以正確采樣。圖5描述了結(jié)繩法的具體實(shí)現(xiàn),主要包括3個(gè)基本單元:Pluse2Toggle、Synchronizer和Toggle2Pluse.

Pluse2Toggle模塊負(fù)責(zé)將兩個(gè)脈沖信號(hào)結(jié)繩,即將單脈沖信號(hào)延長(zhǎng);Synchronizer模塊用雙鎖存器法將得到的信號(hào)過渡到另一個(gè)時(shí)鐘域;Toggle2Pluse模塊與Pluse2Toggle功能相對(duì),即將延長(zhǎng)的脈沖信號(hào)還原為單脈沖,這里用到了異或門。整體的設(shè)計(jì)思想就是用Pluse2Toggle將信號(hào)延長(zhǎng),用Synchronizer過渡,再用Toggle2Pluse還原,以保證另一個(gè)時(shí)鐘域可以正確采樣,而接收方用相反的流程送回響應(yīng)信號(hào)。

結(jié)繩法可以解決快時(shí)鐘域向慢時(shí)鐘域過渡的問題,且適用的范圍很廣。但是結(jié)繩法實(shí)現(xiàn)較復(fù)雜,在設(shè)計(jì)要求較高的場(chǎng)合應(yīng)該慎用。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600461
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1992

    瀏覽量

    60976
  • 脈沖信號(hào)
    +關(guān)注

    關(guān)注

    6

    文章

    387

    瀏覽量

    36854

原文標(biāo)題:怎樣利用FPGA設(shè)計(jì)一個(gè)跨時(shí)鐘域的同步策略?

文章出處:【微信號(hào):FPGAer_Club,微信公眾號(hào):FPGAer俱樂部】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    FPGA設(shè)計(jì)中解決時(shí)鐘的三大方案

    時(shí)鐘處理是FPGA設(shè)計(jì)中經(jīng)常遇到的問題,而如何處理好時(shí)鐘
    的頭像 發(fā)表于 11-21 11:13 ?3780次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)中解決<b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>的三大方案

    如何處理好FPGA設(shè)計(jì)中時(shí)鐘問題?

    時(shí)鐘處理是 FPGA 設(shè)計(jì)中經(jīng)常遇到的問題,而如何處理好時(shí)鐘
    發(fā)表于 09-22 10:24

    FPGA初學(xué)者的必修課:FPGA時(shí)鐘處理3大方法

    時(shí)鐘處理是FPGA設(shè)計(jì)中經(jīng)常遇到的問題,而如何處理好時(shí)鐘
    發(fā)表于 03-04 09:22

    如何處理好FPGA設(shè)計(jì)中時(shí)鐘間的數(shù)據(jù)

    時(shí)鐘處理是FPGA設(shè)計(jì)中經(jīng)常遇到的問題,而如何處理好時(shí)鐘
    發(fā)表于 07-29 06:19

    FPGA時(shí)鐘處理簡(jiǎn)介

    (10)FPGA時(shí)鐘處理1.1 目錄1)目錄2)FPGA簡(jiǎn)介3)Verilog HDL簡(jiǎn)介4)FPG
    發(fā)表于 02-23 07:47

    時(shí)鐘信號(hào)的幾種同步方法研究

    時(shí)鐘信號(hào)的同步方法應(yīng)根據(jù)源時(shí)鐘與目標(biāo)時(shí)鐘的相位關(guān)系、該信號(hào)的時(shí)間寬度和多個(gè)
    發(fā)表于 05-09 15:21 ?63次下載
    <b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>信號(hào)的幾種<b class='flag-5'>同步</b>方法研究

    FPGA界最常用也最實(shí)用的3種時(shí)鐘處理的方法

    時(shí)鐘處理是FPGA設(shè)計(jì)中經(jīng)常遇到的問題,而如何處理好時(shí)鐘
    發(fā)表于 11-15 20:08 ?1.4w次閱讀

    怎樣利用FPGA設(shè)計(jì)個(gè)時(shí)鐘同步策略?

    觸發(fā)器是FPGA設(shè)計(jì)中最常用的基本器件。觸發(fā)器工作過程中存在數(shù)據(jù)的建立(setup)和保持(hold)時(shí)間。對(duì)于使用上升沿觸發(fā)的觸發(fā)器來說,建立時(shí)間就是在時(shí)鐘上升沿到來之前,觸發(fā)器數(shù)據(jù)端數(shù)據(jù)保持穩(wěn)定
    發(fā)表于 08-18 09:50 ?1859次閱讀
    怎樣<b class='flag-5'>利用</b><b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>一</b><b class='flag-5'>個(gè)</b><b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>的<b class='flag-5'>同步</b><b class='flag-5'>策略</b>?

    關(guān)于FPGA時(shí)鐘的問題分析

    時(shí)鐘問題(CDC,Clock Domain Crossing )是多時(shí)鐘設(shè)計(jì)中的常見現(xiàn)象。在FPGA領(lǐng)域,互動(dòng)的異步
    發(fā)表于 08-19 14:52 ?3266次閱讀

    揭秘FPGA時(shí)鐘處理的三大方法

    時(shí)鐘處理是 FPGA 設(shè)計(jì)中經(jīng)常遇到的問題,而如何處理好時(shí)鐘
    的頭像 發(fā)表于 12-05 16:41 ?1571次閱讀

    (10)FPGA時(shí)鐘處理

    (10)FPGA時(shí)鐘處理1.1 目錄1)目錄2)FPGA簡(jiǎn)介3)Verilog HDL簡(jiǎn)介4)FPG
    發(fā)表于 12-29 19:40 ?7次下載
    (10)<b class='flag-5'>FPGA</b><b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>處理

    時(shí)鐘電路設(shè)計(jì)總結(jié)

    時(shí)鐘操作包括同步時(shí)鐘操作和異步
    的頭像 發(fā)表于 05-18 09:18 ?664次閱讀
    <b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>電路設(shè)計(jì)總結(jié)

    FPGA時(shí)鐘處理方法()

    時(shí)鐘FPGA設(shè)計(jì)中最容易出錯(cuò)的設(shè)計(jì)模塊,而且時(shí)鐘
    的頭像 發(fā)表于 05-25 15:06 ?1814次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>處理方法(<b class='flag-5'>一</b>)

    FPGA時(shí)鐘處理方法(二)

    篇文章已經(jīng)講過了單bit時(shí)鐘的處理方法,這次解說下多bit的
    的頭像 發(fā)表于 05-25 15:07 ?914次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>跨</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>域</b>處理方法(二)

    fpga時(shí)鐘通信時(shí),慢時(shí)鐘如何讀取快時(shí)鐘發(fā)送過來的數(shù)據(jù)?

    fpga時(shí)鐘通信時(shí),慢時(shí)鐘如何讀取快時(shí)鐘發(fā)送過來的數(shù)據(jù)? 在
    的頭像 發(fā)表于 10-18 15:23 ?943次閱讀