0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

利用FPGA實現(xiàn)DDS技術(shù)實際任意波形發(fā)生器

電子設(shè)計 ? 作者:電子設(shè)計 ? 2018-10-07 11:34 ? 次閱讀

任意波形發(fā)生器( Arbit rary Waveform Generato r,AWG) 是一種多波型的信號發(fā)生器, 它不僅能產(chǎn)生正弦波、指數(shù)波等常規(guī)波形, 也可以表現(xiàn)出載波調(diào)制的多樣化, 如: 產(chǎn)生調(diào)頻、調(diào)幅、調(diào)相和脈沖調(diào)制等。更可以通過計算機軟件實現(xiàn)波形的編輯, 從而生成用戶所需要的各種任意波形。任意波形發(fā)生器的實現(xiàn)方案主要有程序控制輸出、DMA 輸出、可變時鐘計數(shù)器尋址和直接數(shù)字頻率合成( DDS) 等多種方式 。目前任意波形發(fā)生器的研制主要基于DDS 技術(shù), 與傳統(tǒng)的頻率合成器相比, DDS 具有低成本、低功耗、高分辨率和快速轉(zhuǎn)換時間等優(yōu)點, 廣泛使用在通信、測量與電子儀器領(lǐng)域, 是設(shè)備全數(shù)字化的一個關(guān)鍵技術(shù)。

1 任意波形發(fā)生器的理論分析

1. 1 DDS 技術(shù)簡介

DDS( Direct Digital Sy nthesis) 的概念由美國學者J. T ier ncy、C. M. Rader 和B. Gold 在1971 年提出。該技術(shù)是從相位的概念進行頻率合成, 主要優(yōu)點是輸出相位連續(xù)、相對帶寬較大、頻率分辨率很高、可編程、準確度和穩(wěn)定度都比較高 。DDS 技術(shù)是利用查表法來產(chǎn)生波形, 而通過修改存儲在ROM 里的數(shù)據(jù), 就可以產(chǎn)生任意波形。

1. 2 DDS 基本結(jié)構(gòu)

DDS 主要有相位累加器、ROM 波形查詢表、數(shù)模轉(zhuǎn)換器組成。其基本框圖如圖1 所示。

圖1 DDS 結(jié)構(gòu)框圖

線性數(shù)字信號通過相位累加器逐級實現(xiàn), 波形函數(shù)存儲在ROM 中, 根據(jù)累加器輸出的相位值作為地址,尋找存儲在ROM 中的波形函數(shù)的幅度量化值, 完成相位到幅值的轉(zhuǎn)換, 輸出相對應(yīng)的序列。

2 硬件電路設(shè)計

2. 1 設(shè)計方案

基于FPGA 實現(xiàn)DDS 功能, 通過單片機實現(xiàn)控制。此方案的核心在于FPGA 的設(shè)計實現(xiàn)邏輯功能,通過對存儲器查表后輸出信號, 由相連接的數(shù)模轉(zhuǎn)換器轉(zhuǎn)換為要求的波形。單片機作為控制器, 易于控制與調(diào)試。系統(tǒng)框圖如圖2 所示。

圖2 系統(tǒng)框圖

2. 2 D/ A 轉(zhuǎn)換器的使用

本案采用德州儀器的TLC7528。它是雙路、8 位D/ A 轉(zhuǎn)換器, 本案設(shè)計為: A 路的數(shù)字量控制B 路的電壓輸出, B 路則控制輸出的幅度。電路圖可以顯示,VA1( VOB1) 處輸入的A( B) 路電壓范圍為- 5~ 0 V,VA2( VOB2) 處為A( B) 路的電壓為- 5~ 5 V。DA 電路連接圖如圖3 所示。

圖3 TLC7528 電路連接圖

其中因為轉(zhuǎn)換方法的問題, 產(chǎn)生的零點誤差可以通過調(diào)整放大器的零點來校準; 而因為溫度變化產(chǎn)生的溫漂誤差在技術(shù)上就比較難消除。關(guān)于單極性DAC 的增益誤差可以通過調(diào)整放大器的閉環(huán)增益來消除。

2. 3 濾波器的設(shè)計

一般情況下, 橢圓濾波器的參數(shù)靈敏度最高, 在使用用相同階數(shù)時, 橢圓濾波器能夠得到最好的矩形系數(shù), 7 階橢圓濾波器在通帶附近的頻點可得到40 dB 的帶外抑制, 很適合將雜散信號濾除。DDS 輸出的另一類波形是頻譜復雜的任意波形, 頻率比較低, 頻譜結(jié)構(gòu)豐富, 具有較高的諧波分量, 選用等波紋誤差線性相位濾波器來濾波, 它在很大范圍內(nèi)具有固定的延遲, 在遠離截止頻率處, 其幅度響應(yīng)也比較好。

2. 4 單片機的設(shè)計

單片機采用傳統(tǒng)8051 單片機, 晶振為12 MHz, 采用內(nèi)部振蕩方式; 復位輸入引腳為高電平有效, 保持2 個機器周期以上的的高電平便可以實現(xiàn)對單片機的復位; 采用MAX232 芯片作為串口芯片, 單片機通過異步通信串行接口與其他計算機或者外圍設(shè)備進行信息傳遞。

3 基于FPGA的DDS實現(xiàn)

FPGA 芯片采用ALT ERA 公司的CYCLON E 系列EP1C3T 144C8。設(shè)計采用Altera 公司的Quar tus , 使用AS 方式與JTAG 配置方式。

3. 1 固定波形輸出

本案使用幾個8 b 的ROM, 存儲深度為1 024 點,用來存儲正弦波等波形數(shù)據(jù)。每個波形數(shù)據(jù)存儲在一個固定的ROM 里, 如圖4Sine_ROM, Square _ROM等分別對應(yīng)正弦、方波等存儲模塊。通過一個使能模塊控制, 采取低電平有效的方式, 選擇性讀取任意模塊的波形。輸出波形時, 僅有單獨一個ROM 工作, 其他模塊為高電平, 保證輸出所需固定波形。使能模塊的輸入端為行列式鍵盤, 設(shè)定為: 單鍵控制某一波形輸出。

圖4 波形ROM 與使能控制設(shè)計電路圖

3. 2 任意波形輸出

任意波形是由上位機下傳的波形數(shù)據(jù), 由單片機控制, 必須設(shè)計一個能隨時接受數(shù)據(jù)更新的RAM。如圖5所示。

圖5 任意波形模塊設(shè)計電路圖

選用的D/ A 轉(zhuǎn)換器為8 位, 所以RAM 的字長也為8 位, 因此波形RAM 的地址線的位數(shù)取10 位。為了實現(xiàn)任意波形數(shù)據(jù)的更新, 波形RAM 設(shè)計成為雙口RAM。

4 性能與誤差分析

4. 1 測試結(jié)果

輸出波形如圖6 所示。本系統(tǒng)測試所用到的儀器為:

示波器 T ekt ronix 公司 型號: T DS1012

計數(shù)器 創(chuàng)瑞科技有限公司 型號: SPF40

圖6 輸出波形圖

4. 2 頻率測量

通過設(shè)定預定值, 用計數(shù)器測量出實際值, 基于此數(shù)據(jù)計算出誤差如下表( 只選取10 組數(shù)據(jù)) 。

由表1 可看出, 1 ~ 100 Hz 區(qū)間誤差較為明顯,1 kHz~ 1 MHz區(qū)間輸出頻率較為穩(wěn)定( 該表為測試正弦波數(shù)據(jù)) 。

表1 頻率數(shù)據(jù)表

4. 3 誤差與雜散分析

除了工作環(huán)境與電源噪聲等問題是影響到頻譜純凈度的因素外, 還有以下主要原因:

( 1) 相位截斷產(chǎn)生雜散信號。硬件上無法滿足20 位的數(shù)據(jù)儲存, 設(shè)計中均采用了高位截斷的方法, 只取用累加器輸出的高幾位用于查表, 低位舍去。必然會造成誤差從而影響最終的輸出信號頻譜。正弦信號上引入了余弦分量, 造成時域上的疊加, 必然表現(xiàn)為頻域上的雜散。

( 2) D/ A 轉(zhuǎn)換器非線性引起的雜散分量。理想DAC 對DDS 的影響只表現(xiàn)在對信號頻譜的幅度和相位產(chǎn)生改變, 輸出上體現(xiàn)出滾降特性, 并不引入其它的頻率成分, 而非理想的DAC 的非線性、瞬間毛刺等非理想的轉(zhuǎn)換特性在輸出頻譜中產(chǎn)生了雜散。

( 3) 幅度量化產(chǎn)生的雜散。正弦查表內(nèi)存儲的波形碼事一個模擬信號被均勻量化后的值。存儲器的容量有限, 不可能以無限二進制數(shù)來記錄正弦值, 因此出現(xiàn)幅度量化誤差。

減小DDS 輸出電壓中的雜散及噪聲的方法, 除了選用性能優(yōu)良、工藝精湛的D/ A 轉(zhuǎn)換器, 提高位數(shù)與幅度量化字長外, 還可以通過設(shè)計良好的低通濾波器, 以濾除各種雜散及帶外噪聲, 也可選用DDS+ PLL 頻率合成技術(shù), 以及數(shù)據(jù)壓縮法, 最高壓縮比可達128 1。另外可以在產(chǎn)生的相位字序列上加入高頻抖動( Dither) , 因為相位截位誤差成周期分布, 這將導致在某些頻率處產(chǎn)生較大的毛刺, 使DDS 產(chǎn)生的頻譜無雜波動態(tài)范圍減小。通過在相位上加入抖動, 能夠破壞相位誤差中較明顯的周期性分布, 從而使得毛刺的幅值得到減小, 增大了輸出正余弦波的無雜波動態(tài)范圍 。

還可以采用相位誤差反饋結(jié)構(gòu), 在SCMF( 相位累加器和正余弦函數(shù)生成器) 前加入一個二階FIR 濾波器( Finite Impulse Response, 有限沖擊響應(yīng)) 來濾除輸出頻率附近的相位誤差。

5 結(jié) 語

任意波形發(fā)生器的設(shè)計是全國電子設(shè)計大賽中經(jīng)典的選題之一, 任意波形發(fā)生器的設(shè)計不僅有廣泛的實踐應(yīng)用空間, 并且對于電子科的學生動手能力的提高及學科知識的融會貫通同樣具有極大的指導價值。本文尋求功能強大、操作便捷、輸出參數(shù)穩(wěn)定的設(shè)計效果, 并主要偏重基礎(chǔ), 使之更符合教科書的典型教學條件, 并對相關(guān)參數(shù)進行測量, 分析原因。關(guān)于波形發(fā)生器的設(shè)計, 仍有許多可以設(shè)計擴展的功能, 雜散的削弱仍有較多的方法值得探究。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21540

    瀏覽量

    600545
  • DDS
    DDS
    +關(guān)注

    關(guān)注

    21

    文章

    627

    瀏覽量

    152427
  • 波形發(fā)生器
    +關(guān)注

    關(guān)注

    3

    文章

    288

    瀏覽量

    31328
收藏 人收藏

    評論

    相關(guān)推薦

    基于ROM的任意波形發(fā)生器DDS

    、相位以及幅度的數(shù)控調(diào)制,廣泛應(yīng)用在電信與電子儀器和通信領(lǐng)域。波形發(fā)生器是一種數(shù)據(jù)信號發(fā)生器,在調(diào)試硬件時,常常需要加入一些信號,以觀察電路工作是否正常。加入的信號有:正弦波、三角波、方波和
    的頭像 發(fā)表于 06-18 19:24 ?1.7w次閱讀
    基于ROM的<b class='flag-5'>任意</b><b class='flag-5'>波形</b><b class='flag-5'>發(fā)生器</b>(<b class='flag-5'>DDS</b>)

    基于DDS任意波形/ 函數(shù)發(fā)生器之間的差別

    任意波形/ 函數(shù)發(fā)生器(AFG)通過讀取內(nèi)存的內(nèi)容,來同時創(chuàng)建函數(shù)波形任意波形。大多數(shù)現(xiàn)代AF
    發(fā)表于 03-29 16:31 ?2552次閱讀
    基于<b class='flag-5'>DDS</b>的<b class='flag-5'>任意</b><b class='flag-5'>波形</b>/ 函數(shù)<b class='flag-5'>發(fā)生器</b>之間的差別

    【Z-turn Board試用體驗】+基于FPGADDS技術(shù)的三相正弦波的發(fā)生器設(shè)計

    芯片的可編程性和實現(xiàn)方案易改動的特點,提出了一種基于FPGADDS技術(shù)任意波形
    發(fā)表于 05-30 10:50

    如何利用FPGADDS技術(shù)實現(xiàn)正弦信號發(fā)生器的設(shè)計

    DDS電路的工作原理是什么如何利用FPGADDS技術(shù)實現(xiàn)正弦信號
    發(fā)表于 04-28 06:35

    基于DDS技術(shù)任意波形發(fā)生器是怎樣設(shè)計的?

    DDS基本原理是什么?DDS的基本參數(shù)有哪些?基于DDS技術(shù)任意波形
    發(fā)表于 04-30 07:19

    怎么利用FPGA設(shè)計基于DDS的信號發(fā)生器?

    本文在討論DDS的基礎(chǔ)上,介紹利用FPGA設(shè)計的基于DDS的信號發(fā)生器。
    發(fā)表于 05-06 09:54

    怎么實現(xiàn)基于SOPC的任意波形發(fā)生器設(shè)計?

    DDS技術(shù)及其原理是什么?怎么實現(xiàn)基于SOPC的任意波形發(fā)生器設(shè)計?
    發(fā)表于 05-18 06:11

    基于DDS波形發(fā)生器設(shè)計

    基于DDS波形發(fā)生器設(shè)計 0 引 言    隨著信息技術(shù)的發(fā)展及測試對象不斷豐富,現(xiàn)代電子系統(tǒng)對波形
    發(fā)表于 01-27 10:49 ?1663次閱讀

    一種DDS任意波形發(fā)生器的ROM優(yōu)化方法

    提出了一種改進的基于直接頻率合成技術(shù)DDS)的任意波形發(fā)生器在現(xiàn)場可編程門陣列(FPGA)上的
    發(fā)表于 09-23 17:54 ?61次下載

    基于FPGADDS信號發(fā)生器設(shè)計方案解析

    將虛擬儀器技術(shù)FPGA技術(shù)結(jié)合,設(shè)計了一個頻率可控的DDS任意波形信號
    發(fā)表于 12-04 11:40 ?33次下載
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>DDS</b>信號<b class='flag-5'>發(fā)生器</b>設(shè)計方案解析

    任意波形發(fā)生器_DDS任意波形發(fā)生器的設(shè)計

    任意波形發(fā)生器既具有其他信號源的信號生成能力,又可以通過各種編輯手段產(chǎn)生任意波形采樣數(shù)據(jù),方便地合成其他信號源所不能生成的
    發(fā)表于 01-08 11:44 ?1.7w次閱讀

    基于Verilog實現(xiàn)DDS任意波形發(fā)生器

    DDS是從相位的概念直接合成所需波形的一種頻率合成技術(shù)。不僅可以產(chǎn)生不同頻率的正弦波,而且可以控制波形的初始相位。本文為大家介紹基于Verilog
    發(fā)表于 01-08 11:58 ?6745次閱讀
    基于Verilog<b class='flag-5'>實現(xiàn)</b>的<b class='flag-5'>DDS</b><b class='flag-5'>任意</b><b class='flag-5'>波形</b><b class='flag-5'>發(fā)生器</b>

    函數(shù)信號發(fā)生器是否與任意波形信號發(fā)生器相同

    發(fā)生器采用模擬的方法,只能產(chǎn)生正弦波、三角波、方波等幾種有限的波形,且受模擬電路溫度漂移、老化等特性影響,輸出信號的頻率精度差,不穩(wěn)定;任意波形發(fā)生
    發(fā)表于 03-23 14:03 ?1378次閱讀

    任意波形發(fā)生器與示波器怎么連接

    在電子測試領(lǐng)域中,任意波形發(fā)生器和示波器是兩種非常重要的測試設(shè)備。任意波形發(fā)生器能夠產(chǎn)生多種
    的頭像 發(fā)表于 05-15 15:38 ?724次閱讀

    什么是任意波形發(fā)生器

    , AWG)作為波形發(fā)生器的一種高級形式,以其高度的靈活性和精確度,為用戶提供了前所未有的波形生成能力。本文將詳細探討任意波形
    的頭像 發(fā)表于 05-30 15:53 ?732次閱讀