0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

時序分析基本概念之生成時鐘詳細資料介紹描述

數(shù)字后端IC芯片設(shè)計 ? 來源:未知 ? 作者:易水寒 ? 2018-09-24 08:12 ? 次閱讀

今天我們要介紹的時序分析概念是generate clock。中文名為生成時鐘。generate clock定義在sdc中,是一個重要的時鐘概念。

它從master clock中取得的時鐘定義。master clock就是指create_clock命令指定的時鐘產(chǎn)生點,如圖所示:

我們可以用如下命令來描述generated clocks:

#定義master clock

create_clock -name CLKP -period 10 \

-waveform {0 5} [get_pins UPLL0/CLKOUT]

#在Q點定義generated clock

create_generated_clock -name CLKPDIV2 \

-source UPLL0/CLKOUT -add \

-master_clockCLKP -divide_by 2 [get_pins UFF0/Q]

一般我們把時鐘的源頭會定義成create_clock,而分頻時鐘則會定義為create_generated_clock. 兩者的主要區(qū)別在于CTS步驟,generated clock并不會產(chǎn)生新的clock domain, 而且定義generated clock后,clock path的起點始終位于master clock, 這樣source latency并不會重新的計算。

上圖中描述,如果我們給PLLCLK出來的三個分頻點定義為generate clock,則在trace clock tree時,工具會穿過這三個點,并不會產(chǎn)生新的clock,對工具來說,它會balance所有clock sink。這種情況下,clock tree通常會做得比較長一些。

相反,如果我們給這三個分頻點定義為create_clock,則在trace clock tree時,這三個點會生成各自新的clock tree,屬于他們自己的sink會分別做balance,但是相互之間會不做balance。而且它本身的input clock pin也會被當成PLLCLK的sink進行balance。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 時序分析
    +關(guān)注

    關(guān)注

    2

    文章

    127

    瀏覽量

    22535
  • CTS
    CTS
    +關(guān)注

    關(guān)注

    0

    文章

    34

    瀏覽量

    14016

原文標題:時序分析基本概念介紹

文章出處:【微信號:IC_Physical_Design,微信公眾號:數(shù)字后端IC芯片設(shè)計】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    時序分析基本概念介紹——時序庫Lib,除了這些你還想知道什么?

    時序分析基本概念介紹——時序庫Lib。用于描述物理單元的時序
    的頭像 發(fā)表于 12-15 17:11 ?1.2w次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>——<b class='flag-5'>時序</b>庫Lib,除了這些你還想知道什么?

    詳細介紹時序基本概念Timing arc

    時序分析基本概念介紹——Timing Arc
    的頭像 發(fā)表于 01-02 09:29 ?2.4w次閱讀
    <b class='flag-5'>詳細</b><b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>基本概念</b>Timing arc

    詳細介紹下與時鐘相關(guān)的命令

    時序分析基本概念介紹——時鐘sdc。
    的頭像 發(fā)表于 01-27 09:18 ?6950次閱讀

    時序分析基本概念ETM的詳細介紹及如何應(yīng)用的資料概述

    今天我們要介紹時序分析概念是ETM。全稱extracted timing model。這是在層次化設(shè)計中必須要使用的一個時序模型文件。由b
    的頭像 發(fā)表于 09-24 19:30 ?1.8w次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>ETM的<b class='flag-5'>詳細</b><b class='flag-5'>介紹</b>及如何應(yīng)用的<b class='flag-5'>資料</b>概述

    時序分析時序約束的基本概念詳細說明

    時序分析時FPGA設(shè)計中永恒的話題,也是FPGA開發(fā)人員設(shè)計進階的必由之路。慢慢來,先介紹時序分析中的一些
    發(fā)表于 01-08 16:57 ?28次下載
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>和<b class='flag-5'>時序</b>約束的<b class='flag-5'>基本概念</b><b class='flag-5'>詳細</b>說明

    FPGA設(shè)計中時序分析基本概念

    時序分析時FPGA設(shè)計中永恒的話題,也是FPGA開發(fā)人員設(shè)計進階的必由之路。慢慢來,先介紹時序分析中的一些
    的頭像 發(fā)表于 03-18 11:07 ?2591次閱讀

    靜態(tài)時序分析基本概念和方法

    向量和動態(tài)仿真 。本文將介紹靜態(tài)時序分析基本概念和方法,包括時序約束,時序路徑,
    的頭像 發(fā)表于 06-28 09:38 ?1376次閱讀
    靜態(tài)<b class='flag-5'>時序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>和方法

    介紹時序分析基本概念lookup table

    今天要介紹時序分析基本概念是lookup table。中文全稱時序查找表。
    的頭像 發(fā)表于 07-03 14:30 ?1343次閱讀
    <b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>lookup table

    時序分析基本概念介紹&lt;Latency&gt;

    今天要介紹時序分析基本概念是Latency, 時鐘傳播延遲。主要指從Clock源到時序組件Cl
    的頭像 發(fā)表于 07-04 15:37 ?2232次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>&lt;Latency&gt;

    介紹時序分析基本概念MMMC

    今天我們要介紹時序分析基本概念是MMMC分析(MCMM)。全稱是multi-mode, multi-corner, 多模式多端角
    的頭像 發(fā)表于 07-04 15:40 ?2342次閱讀
    <b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>MMMC

    時序分析基本概念介紹&lt;Skew&gt;

    今天要介紹時序分析基本概念是skew,我們稱為偏差。
    的頭像 發(fā)表于 07-05 10:29 ?3220次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>&lt;Skew&gt;

    時序分析Slew/Transition基本概念介紹

    今天要介紹時序分析基本概念是Slew,信號轉(zhuǎn)換時間,也被稱為transition time。
    的頭像 發(fā)表于 07-05 14:50 ?2806次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>Slew/Transition<b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>

    時序分析基本概念介紹&lt;generate clock&gt;

    今天我們要介紹時序分析概念是generate clock。中文名為生成時鐘。generate
    的頭像 發(fā)表于 07-06 10:34 ?2061次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>&lt;generate clock&gt;

    時序分析基本概念介紹—Timing Arc

    今天我們要介紹時序基本概念是Timing arc,中文名時序弧。這是timing計算最基本的組成元素,在昨天的lib庫介紹中,大部分
    的頭像 發(fā)表于 07-06 15:00 ?2903次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>—Timing Arc

    時序分析基本概念介紹&lt;Virtual Clock&gt;

    今天我們介紹時序分析基本概念是Virtual Clock,中文名稱是虛擬時鐘。
    的頭像 發(fā)表于 07-07 16:52 ?1293次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>&lt;Virtual Clock&gt;