0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

常見的Verilog行為級描述語法

電子工程師 ? 來源:未知 ? 作者:工程師李察 ? 2018-09-15 08:18 ? 次閱讀

常見的模塊介紹:選擇器;譯碼器;解碼器;比較器。這里我們主要從常見的Verilog描述的層面去介紹,而不著重考慮電路。將會提到的Verilog語句有 條件選擇語句,case語句,if-else。

選擇器:電路示意圖如下。根據(jù)SEL信號,選擇將D0還是D1,或者D3傳遞給D_O。即根據(jù)SEL信號選擇,將某一個輸入信號傳遞給D_O。

常見的Verilog行為級描述語法

對于只有兩個輸入信號的選擇器,我們可以用單層條件選擇語句描述。例如輸入只有D0,D1兩個。那么SEL只要為單bit即可實現(xiàn)選擇。SEL為0時,選擇將D0傳遞給D_O;SEL為1時,選擇將D1傳遞給D_O;

assign D_O = (SEL) ? D1:D0;

注意:條件選擇語句功能: 當(dāng) ( ) 里面的expression0的條件為真時,則選擇第一個表達(dá)式expression1里面的結(jié)果,否則選擇 :后面expression2的結(jié)果。結(jié)構(gòu)如下:

assign out = (expression0) ? expression1 : expression2

有多個輸入信號時。例如:有3個輸入,D0,D1,D2; 因為2的2次方等于4,故SEL最小的位寬應(yīng)該為2。若要實現(xiàn)如下選擇功能。

對應(yīng)可以使用 case 語句描述:

reg[7:0] D_O;

always @(*) begin

case(SEL[1:0])

2b00: D_O = D0;

2b01: D_O = D1;

2b10: D_O = D2;

default: D_O = h0;

endcase

end

注意:case語句,如果SEL的所有組合沒有列全,最好在最后要加上 default: ... 項,使得信號完整。如此綜合出來的電路才不會出現(xiàn)鎖存器。

對應(yīng)的可以用case語句去描述它的功能:

reg[7:0] D_O;

always @(*) begin

case(D_IN[2:0])

3b000: D_O = 8b1111_1110;

3b001: D_O = 8b1111_1101;

3b010: D_O = 8b1111_1011;

3b011: D_O = 8b1111_0111;

3b100: D_O = 8b1110_1111;

3b101: D_O = 8b1101_1111;

3b110: D_O = 8b1011_1111;

3b111: D_O = 8b0111_1111;

endcase

end

同樣對于8-3解碼器,大家可以自行去練手。

比較器,或者說判斷器。比如比較兩個數(shù)的大小,當(dāng)a>b是,輸出信號en為高,否則為低。

if-else描述:

reg en;

always @( * )

if( a>b )

en = 1;

else

en = 0;

當(dāng)然也可以用條件選擇語句:

wire en;

assign en = (a>b) ?1b1 : 1b0;

本文重在通過邏輯實例幫助大家理解常見的Verilog邏輯描述語言。大家在知道自己要實現(xiàn)的功能的情況下,去選擇合適的描述方式。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109844
  • Case
    +關(guān)注

    關(guān)注

    0

    文章

    27

    瀏覽量

    13350
  • IF
    IF
    +關(guān)注

    關(guān)注

    1

    文章

    48

    瀏覽量

    26844

原文標(biāo)題:常見的Verilog行為級描述語法

文章出處:【微信號:LF-FPGA,微信公眾號:小魚FPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    硬件描述語言(HDL)編碼技術(shù):xilinx verilog語法技巧

    xilinx verilog語法技巧 一 硬件描述語言(HDL)編碼技術(shù)讓您: ?描述數(shù)字邏輯電路中最常見的功能。 ?充分利用Xilinx?
    的頭像 發(fā)表于 12-13 10:29 ?3456次閱讀

    新手求教、verilog行為描述與結(jié)構(gòu)描述區(qū)別?

    想問一下,在verilog中,行為描述和結(jié)構(gòu)描述到底有什么區(qū)別,感覺行為描述語句更直觀、易讀、易
    發(fā)表于 10-27 11:17

    Verilog硬件描述語描述.

    本書簡要介紹了Verilog硬件描述語言的基礎(chǔ)知識,包括語言的基本內(nèi)容和基本結(jié)構(gòu) ,以及利用該語言在各種層次上對數(shù)字系統(tǒng)的建模方法。書中列舉了大量實例,幫助讀者掌握語
    發(fā)表于 03-27 23:44 ?101次下載

    verilog硬件描述語言課程講義

    verilog硬件描述語言課程講義
    發(fā)表于 05-21 15:01 ?33次下載
    <b class='flag-5'>verilog</b>硬件<b class='flag-5'>描述語</b>言課程講義

    Verilog硬件描述語言參考手冊

    Verilog硬件描述語言參考手冊,Verilog語法內(nèi)容介紹
    發(fā)表于 11-12 17:20 ?0次下載

    Verilog HDL硬件描述語

    Verilog HDL硬件描述語言 有需要的下來看看
    發(fā)表于 12-29 15:31 ?0次下載

    Verilog HDL硬件描述語言_行為建模

    本章描述Verilog HDL中的第三種建模方式,即行為建模方式。為充分使用Verilog HDL,一個模型可以包含所有上述三種建模方式。veril
    發(fā)表于 04-25 16:09 ?14次下載

    Verilog硬件描述語

    VHDL語言編程學(xué)習(xí)Verilog硬件描述語
    發(fā)表于 09-01 15:27 ?0次下載

    Verilog HDL硬件描述語

    Verilog HDL硬件描述語言,感興趣的小伙伴們可以瞧一瞧。
    發(fā)表于 11-11 11:20 ?11次下載

    基于Verilog硬件描述語言的IEEE標(biāo)準(zhǔn)硬件描述語言資料合集免費下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是基于Verilog硬件描述語言的IEEE標(biāo)準(zhǔn)硬件描述語言資料合集免費下載:1995、2001、2005;SystemVerilog標(biāo)準(zhǔn):2005、2009
    發(fā)表于 06-18 08:00 ?10次下載

    通過實例設(shè)計來加深Verilog描述語法理解

    綜合,可以用這個語法描述什么邏輯功能電路呢? 其實Xilinx已經(jīng)貼心地準(zhǔn)備好了答案,還給你準(zhǔn)備了一堆參考實例。 二. Verilog行為
    的頭像 發(fā)表于 01-02 09:45 ?1505次閱讀
    通過實例設(shè)計來加深<b class='flag-5'>Verilog</b><b class='flag-5'>描述語法</b>理解

    Verilog硬件描述語言的學(xué)習(xí)課件免費下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是Verilog硬件描述語言的學(xué)習(xí)課件免費下載。
    發(fā)表于 01-22 12:13 ?17次下載
    <b class='flag-5'>Verilog</b>硬件<b class='flag-5'>描述語</b>言的學(xué)習(xí)課件免費下載

    Verilog硬件描述語言參考手冊免費下載

    Verilog 硬件描述語言參考手冊是根據(jù)IEEE 的標(biāo)準(zhǔn)“Verilog 硬件描述語言參考手冊1364-1995”編寫的。OVI (Open V
    發(fā)表于 02-05 16:24 ?72次下載
    <b class='flag-5'>Verilog</b>硬件<b class='flag-5'>描述語</b>言參考手冊免費下載

    使用Verilog/SystemVerilog硬件描述語言練習(xí)數(shù)字硬件設(shè)計

    HDLBits 是一組小型電路設(shè)計習(xí)題集,使用 Verilog/SystemVerilog 硬件描述語言 (HDL) 練習(xí)數(shù)字硬件設(shè)計~
    的頭像 發(fā)表于 08-31 09:06 ?1589次閱讀

    常見Verilog行為描述語法

    常見的模塊介紹:選擇器;譯碼器;解碼器;比較器。這里我們主要從常見Verilog描述的層面去介紹,而不著重考慮電路。
    的頭像 發(fā)表于 05-30 16:24 ?1387次閱讀
    <b class='flag-5'>常見</b>的<b class='flag-5'>Verilog</b><b class='flag-5'>行為</b><b class='flag-5'>級</b><b class='flag-5'>描述語法</b>