0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

電子設(shè)計(jì) ? 作者:電子設(shè)計(jì) ? 2018-09-27 09:43 ? 次閱讀

最近,開關(guān)電源幾乎用于所有電子設(shè)備中。它們由于尺寸小、成本低和效率高而具有極高的價(jià)值。但是,它們最大的缺點(diǎn)就是高開關(guān)瞬態(tài)導(dǎo)致高輸出噪聲。這個(gè)缺點(diǎn)使它們無法用于以線性穩(wěn)壓器供電為主的高性能模擬電路中。實(shí)踐證明,在很多應(yīng)用中,經(jīng)過適當(dāng)濾波的開關(guān)轉(zhuǎn)換器可以代替線性穩(wěn)壓器從而產(chǎn)生低噪聲電源。哪怕在要求極低噪聲電源的苛刻應(yīng)用中,上游電源樹的某個(gè)地方也有可能存在開關(guān)電路。因此,有必要設(shè)計(jì)經(jīng)過優(yōu)化和阻尼處理的多級(jí)濾波器,來消除開關(guān)電源轉(zhuǎn)換器的輸出噪聲。此外,了解濾波器設(shè)計(jì)如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償也很重要。

本文示例電路將采用升壓轉(zhuǎn)換器,但結(jié)果可以直接應(yīng)用于任意DC-DC轉(zhuǎn)換器。圖1所示為升壓轉(zhuǎn)換器在恒定電流模式(CCM)下的基本波形。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

圖1. 升壓轉(zhuǎn)換器的基本電壓和電流波形

輸出濾波器對(duì)升壓拓?fù)浠蚱渌魏螏в袛嗬m(xù)電流模式的拓?fù)渲灾匾?,是因?yàn)樗陂_關(guān)B內(nèi)電流具有快速上升和下降時(shí)間。這會(huì)導(dǎo)致激勵(lì)開關(guān)、布局和輸出電容中的寄生電感。其結(jié)果是,在實(shí)際使用中,輸出波形看上去更像圖2而非圖1,哪怕布局布線良好并且使用陶瓷輸出電容。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

圖2. DCM中升壓轉(zhuǎn)換器的典型測(cè)量波形

由于電容電荷的變化而導(dǎo)致的開關(guān)紋波(開關(guān)頻率)相比輸出開關(guān)的無阻尼振鈴而言非常小,下文稱為輸出噪聲。一般而言,此輸出噪聲范圍為10 MHz至100 MHz以上,遠(yuǎn)超出大部分陶瓷輸出電容的自諧振頻率。因此,添加額外的電容對(duì)噪聲衰減的作用不大。

還有很多各類濾波器適合對(duì)此輸出濾波。本文將解釋每一種濾波器,并給出設(shè)計(jì)的每一個(gè)步驟。文中的公式并不嚴(yán)謹(jǐn),且做了一些合理的假設(shè),以便一定程度上簡(jiǎn)化這些公式。仍然需要進(jìn)行一些迭代,因?yàn)槊恳粋€(gè)元件都會(huì)影響其它元件的數(shù)值。ADIsimPower設(shè)計(jì)工具利用元件值(比如成本或尺寸)的線性化公式在實(shí)際選擇元件前進(jìn)行優(yōu)化,然后從成千上萬器件的數(shù)據(jù)庫中選出實(shí)際元件后對(duì)其輸出進(jìn)行優(yōu)化,從而避免了這個(gè)問題。但在剛開始進(jìn)行設(shè)計(jì)時(shí),這種程度的復(fù)雜性是沒有必要的。通過提供的計(jì)算公式,使用SIMPLIS仿真器——比如免費(fèi)的ADIsimPE?——或者在實(shí)驗(yàn)室工作臺(tái)上花費(fèi)一些時(shí)間,就能以最少的精力得到滿意的設(shè)計(jì)。

開始設(shè)計(jì)濾波器前,考慮一下單級(jí)濾波器RC或LC濾波器可以做什么。通常采用二級(jí)濾波器可以合理地將紋波抑制到幾百μV p-p范圍內(nèi),并將開關(guān)噪聲抑制在1 mV p-p 以下。降壓轉(zhuǎn)換器噪聲較低,因?yàn)殡娫措姼刑峁┝撕芎玫臑V波能力。這些限制是因?yàn)?,一旦紋波降低至μV級(jí)別,元件寄生和濾波器級(jí)之間的噪聲耦合便開始成為限制因素。如果使用噪聲更低的電源,則需添加三級(jí)濾波器。然而,開關(guān)電源的基準(zhǔn)電壓源一般不是噪聲最低的元件,并且常常受到抖動(dòng)噪聲的影響。這些都導(dǎo)致了低頻噪聲(1 Hz至100 kHz),通常不易濾除。因此,對(duì)于極低噪聲電源而言,使用單個(gè)二級(jí)濾波器然后在輸出端添加一個(gè)LDO可能更合適。

在更詳細(xì)地介紹各類濾波器的設(shè)計(jì)步驟前,部分在設(shè)計(jì)步驟中使用的各類濾波器的數(shù)值定義如下:

ΔIPP: 進(jìn)入輸出濾波器的峰峰值電流近似值。為方便計(jì)算,假定是正弦信號(hào)。數(shù)值取決于拓?fù)?。?duì)于降壓轉(zhuǎn)換器而言,它是電感中的峰峰值電流。對(duì)于升壓轉(zhuǎn)換器而言,它是開關(guān)B(通常是一個(gè)二極管)中的峰值電流。

ΔVRIPOUT : 轉(zhuǎn)換器開關(guān)頻率處的輸出電壓紋波近似值。

RESR: 所選輸出電容的ESR。

FSW : 轉(zhuǎn)換器開關(guān)頻率。

CRIP: 輸出電容的計(jì)算中,假定所有ΔIPP 流入其中。

ΔVTRANOUT: ISTEP施加于輸出時(shí),VOUT 的變化。

ISTEP:輸出負(fù)載的瞬時(shí)變化。

TSTEP: 轉(zhuǎn)換器對(duì)于輸出負(fù)載瞬時(shí)變化的近似響應(yīng)時(shí)間。

Fu: 轉(zhuǎn)換器的交越頻率。對(duì)于降壓轉(zhuǎn)換器而言,其值通常為FSW ?10。對(duì)于升壓或降壓/升壓轉(zhuǎn)換器而言,它通常位于右半平面零點(diǎn)(RHPZ)約1/3位置處。

最簡(jiǎn)單的濾波器類型為RC濾波器,如圖3中基于低電流ADP161x升壓設(shè)計(jì)的輸出端所連接的那樣。該濾波器具有低成本優(yōu)勢(shì),無需阻尼。但是,由于功耗的原因,它僅對(duì)極低輸出電流轉(zhuǎn)換器有用。本文假定陶瓷電容具有較低ESR。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

圖3. 在輸出端添加RC濾波器的ADP161x低輸出電流升壓轉(zhuǎn)換器設(shè)計(jì)

RC二級(jí)輸出濾波器設(shè)計(jì)步驟

第1步: C1根據(jù)以下條件選擇:假設(shè)C1的輸出紋波近似值可以忽略其余濾波器;5 mV p-p至20 mV p-p就是一個(gè)很好的選擇。C1隨后可通過公式1計(jì)算得出。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

第2步:R可以根據(jù)功耗選擇。R必須遠(yuǎn)大于RESR,電容和這個(gè)濾波器才能起作用。這將輸出電流的范圍限制在50 mA以下。

第3步:C2隨后可通過公式2至公式6計(jì)算得出。A、a、b和c是簡(jiǎn)化計(jì)算的中間值,沒有實(shí)際意義。這些公式假定R LOAD,且每個(gè)電容的ESR較小。這些都是很好的假設(shè),引入的誤差很小。C2應(yīng)等于或大于C1??烧{(diào)節(jié)第1步中的紋波,使其成為可能。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

對(duì)于較高電流電源而言,將pi濾波器中的電阻以如圖4中的電感代替是有好處的。這種配置提供了極佳的紋波和開關(guān)噪聲抑制能力,并具有較低的功耗。問題在于,我們現(xiàn)在引入了一個(gè)額外的儲(chǔ)能電路,它可能產(chǎn)生諧振。這就有可能導(dǎo)致振蕩,使電源不穩(wěn)定。因此,設(shè)計(jì)該濾波器的第一步是如何選擇阻尼濾波器。圖4顯示了三種可行的阻尼技術(shù)。添加RFILT具有額外成本和尺寸增加較少的優(yōu)勢(shì)。阻尼電阻的損耗通常很少(甚至沒有),哪怕大電源情況下都很小。缺點(diǎn)是,它會(huì)降低電感的并聯(lián)阻抗,從而大幅降低濾波器的有效性。第二種技術(shù)的優(yōu)勢(shì)是濾波器性能最大化。如果需要采用全陶瓷設(shè)計(jì),則RD可以是與陶瓷電容串聯(lián)的分立式電阻。否則需使用具有高ESR且物理尺寸較大的電容。這個(gè)額外的電容(CD)會(huì)大幅增加設(shè)計(jì)的成本和尺寸。阻尼技術(shù)3看上去具有極大的優(yōu)勢(shì),因?yàn)樽枘犭娙軨E添加至輸出端,它可能對(duì)瞬態(tài)響應(yīng)和輸出紋波性能有所助益。然而,這種技術(shù)成本最高,因?yàn)樗桦娙輸?shù)量極大。此外,輸出端相對(duì)而言較多的電容會(huì)降低濾波器諧振頻率,進(jìn)而減少轉(zhuǎn)換器可實(shí)現(xiàn)的帶寬——因此不建議使用第3種技術(shù)。對(duì)于ADIsimPower設(shè)計(jì)工具來說,我們采用第1種技術(shù),因?yàn)樗杀据^低,且在自動(dòng)化設(shè)計(jì)步驟中相對(duì)來說較為容易實(shí)現(xiàn)。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

圖4. 采用輸出濾波器并突出多種不同阻尼技術(shù)的ADP1621

需注意的另一個(gè)問題是補(bǔ)償。盡管這可能不符合直覺,但把濾波器放在反饋環(huán)路內(nèi)部幾乎一直都是更好的做法。這是因?yàn)?,將其放在反饋環(huán)路內(nèi)有助于在一定程度上抑制濾波器,消除直流負(fù)載偏移和濾波器的串聯(lián)電阻,同時(shí)能提供更好的瞬態(tài)響應(yīng)、更低的振鈴。圖5顯示了一個(gè)升壓轉(zhuǎn)換器的波特圖,其在輸出端添加了LC濾波器輸出。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

圖5. 輸出端帶LC濾波器的升壓轉(zhuǎn)換器

反饋在濾波器電感之前或之后獲取。人們沒有想到的是,哪怕濾波器不在反饋環(huán)路內(nèi)部,開環(huán)波特圖依然存在非常大的變化。由于控制環(huán)路無論濾波器是否在反饋環(huán)路中都會(huì)受影響,因此也應(yīng)對(duì)其進(jìn)行適當(dāng)補(bǔ)償。一般而言,這意味著將目標(biāo)交越頻率向下調(diào)整至不超過濾波器諧振頻率(FRES)的五分之一到十分之一。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

這類濾波器的設(shè)計(jì)步驟本質(zhì)上是一個(gè)迭代過程,因?yàn)槊恳粋€(gè)元件的選擇都會(huì)影響其它元件的選擇。

使用并聯(lián)阻尼電阻的LC濾波器設(shè)計(jì)步驟(圖4中的第1種技術(shù))

第1步:選擇C1,使其等于輸出端沒有輸出濾波器時(shí)的情況。5 mV至20 mV p-p是一個(gè)很好的開端。C1隨后可通過公式8計(jì)算得出。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

第2步:選擇電感LFILT。根據(jù)經(jīng)驗(yàn),較好的數(shù)值范圍為0.5 μF至2.2 μF。應(yīng)按照高自諧振頻率(SRF)來選擇電感。較大的電感具有較大的SRF,這意味著它們的高頻噪聲濾波效率較差。較小的電感對(duì)紋波的影響沒有那么大,需要更多電容。開關(guān)頻率越高,電感值越小。比較電感值相同的兩個(gè)電感時(shí),SRF較高的器件具有較低的繞組間電容。繞組間電容用作濾波器周圍的短路,作用于高頻噪聲。

第3步:如前所述,添加濾波器會(huì)影響轉(zhuǎn)換器補(bǔ)償,具體表現(xiàn)為降低可實(shí)現(xiàn)的交越頻率(Fu)。根據(jù)公式7的計(jì)算,對(duì)于電流模式轉(zhuǎn)換而言,可實(shí)現(xiàn)的最大Fu是開關(guān)頻率的1/10以下,或者是濾波器FRES的1/5以下。幸運(yùn)的是,大部分模擬負(fù)載不需要太高的瞬態(tài)響應(yīng)。公式9計(jì)算轉(zhuǎn)換器輸出所需的輸出電容近似值(CBW),以提供指定的瞬態(tài)電流階躍。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

第4步:將C2設(shè)為CBW和C1的最小值。

第5步:利用公式10和公式11計(jì)算阻尼濾波器電阻近似值。這些公式并非絕對(duì)精確,但它們是不使用泛代數(shù)的最接近的閉式解決方案。ADIsimPower設(shè)計(jì)工具通過計(jì)算轉(zhuǎn)換器在濾波器和電感短路時(shí)的開環(huán)傳遞函數(shù)(OLTF)從而計(jì)算RFILT。RFILT值為猜測(cè)值,直到濾波器僅為轉(zhuǎn)換器OLTF以上10 dB時(shí)轉(zhuǎn)換器OLTF的峰值(電感短路)。這種技術(shù)可用于ADIsimPE等仿真器中,或用于使用頻譜分析儀的實(shí)驗(yàn)室中。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

第6步:C2現(xiàn)在可以通過公式12至公式15計(jì)算得出。a、b、c和d用于簡(jiǎn)化公式16。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

第7步:應(yīng)重復(fù)第3步至第5步,直至計(jì)算出滿足所需紋波和瞬態(tài)規(guī)格的優(yōu)秀阻尼濾波器設(shè)計(jì)。應(yīng)注意,這些公式忽略了濾波器電感的直流串聯(lián)電阻RDCR。對(duì)于較低的電源電流而言,該電阻可能非常大。它通過幫助抑制濾波器而改善了濾波器性能,增加了所需RFILT的同時(shí)也增加了濾波器阻抗。這兩個(gè)效應(yīng)都會(huì)極大地改善濾波器性能。因此,以LFILT中的少量功耗換來低噪聲性能是很劃算的,這樣可以改善噪聲性能。LFILT中的內(nèi)核損耗還有助于衰減部分高頻噪聲。因此,高電流供電的鐵磁芯是一個(gè)很好的選擇。它們?cè)陔娏髂芰ο嗤那闆r下尺寸更小、成本更低。當(dāng)然,ADIsimPower具有濾波器電感電阻值以及兩個(gè)電容的ESR值,可實(shí)現(xiàn)最高精度。

第8步:選擇實(shí)際的元件來匹配計(jì)算值時(shí),注意需對(duì)任意陶瓷電容進(jìn)行降低額定值處理,以便將直流偏置納入考量中!

如前文所述,圖4給出了抑制濾波器的兩種可行技術(shù)。如果未選擇并聯(lián)電阻,那么可以選擇CD來抑制濾波器。這會(huì)增加一些成本,但相比其它任何技術(shù)它能提供最佳的濾波器性能。

使用RC阻尼網(wǎng)絡(luò)的LC濾波器設(shè)計(jì)步驟(圖4中的第2種技術(shù))

第1步:正如之前的拓?fù)?,選擇C1,使其等于沒有輸出濾波器時(shí)的情況。10 mV p-p至100 mV p-p是個(gè)不錯(cuò)的開始,具體取決于最終目標(biāo)輸出紋波。C1隨后可通過公式8計(jì)算得出。C1在這個(gè)拓?fù)渲锌梢圆捎帽戎巴負(fù)涓〉臄?shù)值,因?yàn)闉V波器效率更高。

第2步:在之前的拓?fù)渲?,選擇數(shù)值為0.5 μH至2.2 μH的電感。對(duì)于500 kHz至1200 kHz的轉(zhuǎn)換器而言,1 μH是一個(gè)很好的數(shù)值。

第3步:與前文相同,C2可以從公式16中選擇,但RFILT應(yīng)設(shè)為較大的值,比如1 MΩ,因?yàn)椴粫?huì)安裝該元件。無論C1是否有額外 的電容,它的值不變的原因是,為了提供良好的阻尼,RD會(huì)足夠大,以至于CD不會(huì)過多地降低紋波。將C2設(shè)為C2、CBW和C1計(jì)算得出的最小值。此時(shí)回到第1步并調(diào)節(jié)C1上的紋波會(huì)很有用,這樣計(jì)算得到的C2近似等于CBW和C1。

第4步:CD的值應(yīng)當(dāng)?shù)扔贑1。理論上,使用更大的電容可以實(shí)現(xiàn)濾波器的更多抑制,但它不必要地增加了成本和尺寸,并且會(huì)降低轉(zhuǎn)換器帶寬。

第5步:RD可以通過公式17計(jì)算得出。FRES通過公式7計(jì)算得出,忽略CD。這是一個(gè)很好的近似,因?yàn)镽d通常足夠大,從而CD幾乎不影響濾波器諧振位置。

多種開關(guān)電源輸出濾波器技術(shù)介紹及如何影響開關(guān)電源轉(zhuǎn)換器的補(bǔ)償

第6步:現(xiàn)在,CD和RD都已算出,可以使用帶有串聯(lián)電阻的陶瓷電容,或者選擇帶有大ESR的鉭電容或類似電容來滿足計(jì)算得出的規(guī)格。

第7步:選擇實(shí)際的元件來匹配計(jì)算值時(shí),注意需對(duì)任意陶瓷電容進(jìn)行降低額定值處理,以便將直流偏置納入考量中!

另一種濾波器技術(shù)是以鐵氧體磁珠代替之前濾波器中的L。但是,這種方案有很多缺點(diǎn),它限制了開關(guān)噪聲濾波的有效性,而對(duì)開關(guān)紋波幾乎沒有好處。首先是飽和。鐵氧體磁珠將在極低的偏置電流電平處飽和,這意味著鐵氧體會(huì)比所有數(shù)據(jù)手冊(cè)中零偏置曲線所表示的都要低得多。它可能依然需要抑制,因?yàn)樗匀皇且粋€(gè)電感,因此會(huì)跟隨輸出電感諧振。但現(xiàn)在電感是一個(gè)變量,而且以大部分?jǐn)?shù)據(jù)手冊(cè)所能提供的極少量數(shù)據(jù)進(jìn)行極差的特性化。由于這個(gè)原因,不建議使用鐵氧體磁珠作為二級(jí)濾波器,但可以用在下游以進(jìn)一步降低極高的頻率噪聲。

結(jié)論

本文提供了多種開關(guān)電源輸出濾波器技術(shù)。本文為每一個(gè)拓?fù)涮峁┝酥鸩襟E的設(shè)計(jì)過程,縮短猜測(cè)時(shí)間并減少濾波器設(shè)計(jì)中的檢查。文中的公式都在一定程度上經(jīng)過了簡(jiǎn)化,工程師可以通過了解二級(jí)輸出濾波器可以達(dá)到的程度而實(shí)現(xiàn)快速設(shè)計(jì)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 轉(zhuǎn)換器
    +關(guān)注

    關(guān)注

    27

    文章

    8576

    瀏覽量

    146542
  • 開關(guān)電源
    +關(guān)注

    關(guān)注

    6433

    文章

    8244

    瀏覽量

    479171
  • 濾波器
    +關(guān)注

    關(guān)注

    159

    文章

    7664

    瀏覽量

    177201
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    開關(guān)電源EMI濾波器電路

    開關(guān)電源EMI濾波器電路 開關(guān)電源為減小體積、降低成本,單片開關(guān)電源一般采用簡(jiǎn)易式單級(jí)EMI濾波器,典型電路圖1所示。圖(a)與圖(b)中
    發(fā)表于 12-07 11:07 ?4811次閱讀

    開關(guān)電源EMI濾波器的設(shè)計(jì)

    開關(guān)電源EMI濾波器的設(shè)計(jì)要使EMI濾波器對(duì)EMI信號(hào)有最佳的衰減特性,設(shè)計(jì)與開關(guān)電源共模、差模噪聲等效電路端接的EMI濾波器時(shí),就要分別設(shè)
    發(fā)表于 09-01 14:43

    開關(guān)電源EMI濾波器原理與設(shè)計(jì)研究

    開關(guān)電源EMI濾波器原理與設(shè)計(jì)研究 來源:電源技術(shù)應(yīng)用  作者:魏應(yīng)冬 吳燮華 摘要:在開關(guān)電源中,EMI
    發(fā)表于 06-07 11:27 ?1332次閱讀

    開關(guān)電源直流EMI濾波器的設(shè)計(jì)及實(shí)現(xiàn)

    開關(guān)電源直流EMI濾波器的設(shè)計(jì)及實(shí)現(xiàn) 摘要:介紹了基于二端口網(wǎng)絡(luò)理論的開關(guān)電源直流EMI濾波器設(shè)計(jì)的一般原理和方法。該原理適合于任何
    發(fā)表于 07-04 11:13 ?2147次閱讀
    <b class='flag-5'>開關(guān)電源</b>直流EMI<b class='flag-5'>濾波器</b>的設(shè)計(jì)及實(shí)現(xiàn)

    利用濾波器抑制開關(guān)電源的電磁干擾

    利用濾波器抑制開關(guān)電源的電磁干擾 摘要:分析了開關(guān)電源產(chǎn)生電磁干擾的主要原因,介紹了利用濾波器抑制電磁干擾的原理以及
    發(fā)表于 07-17 11:26 ?1067次閱讀
    利用<b class='flag-5'>濾波器</b>抑制<b class='flag-5'>開關(guān)電源</b>的電磁干擾

    利用多級(jí)濾波器來消除開關(guān)電源轉(zhuǎn)換器輸出噪聲

    。實(shí)踐證明,在很多應(yīng)用中,經(jīng)過適當(dāng)濾波開關(guān)轉(zhuǎn)換器可以代替線性穩(wěn)壓從而產(chǎn)生低噪聲電源。哪怕在要求極低噪聲
    的頭像 發(fā)表于 01-10 09:45 ?7828次閱讀
    利用多級(jí)<b class='flag-5'>濾波器</b>來消除<b class='flag-5'>開關(guān)電源</b><b class='flag-5'>轉(zhuǎn)換器</b>的<b class='flag-5'>輸出</b>噪聲

    多種開關(guān)電源輸出濾波器技術(shù)逐步驟的設(shè)計(jì)過程解析

    的多級(jí)濾波器,來消除開關(guān)電源轉(zhuǎn)換器輸出噪聲。此外,了解濾波器設(shè)計(jì)如何影響開關(guān)電源
    發(fā)表于 02-07 01:01 ?5340次閱讀
    <b class='flag-5'>多種</b><b class='flag-5'>開關(guān)電源</b><b class='flag-5'>輸出</b><b class='flag-5'>濾波器</b><b class='flag-5'>技術(shù)</b>逐步驟的設(shè)計(jì)過程解析

    對(duì)于開關(guān)電源系統(tǒng)EMI輸入濾波器的設(shè)計(jì)

    對(duì)于開關(guān)電源系統(tǒng)EMI傳導(dǎo)的高效設(shè)計(jì)是優(yōu)化EMI濾波器的設(shè)計(jì);開關(guān)電源電磁兼容進(jìn)級(jí)優(yōu)化設(shè)計(jì);對(duì)于有開關(guān)電源的產(chǎn)品及控制系統(tǒng);其輸入EMI低通濾波器
    的頭像 發(fā)表于 12-26 05:07 ?1042次閱讀

    高頻開關(guān)電源濾波器的電磁兼容設(shè)計(jì)

    高頻開關(guān)電源濾波器的電磁兼容設(shè)計(jì)
    發(fā)表于 06-18 09:24 ?30次下載

    開關(guān)電源中EMC 濾波器的的優(yōu)化技術(shù)及其新型濾波裝置的應(yīng)用

    開關(guān)電源中EMC 濾波器的的優(yōu)化技術(shù)及其新型濾波裝置的應(yīng)用(《通信電源技術(shù)》檔次)-
    發(fā)表于 09-27 13:56 ?24次下載
    <b class='flag-5'>開關(guān)電源</b>中EMC <b class='flag-5'>濾波器</b>的的優(yōu)化<b class='flag-5'>技術(shù)</b>及其新型<b class='flag-5'>濾波</b>裝置的應(yīng)用

    設(shè)計(jì)用于開關(guān)電源的第二級(jí)輸出濾波器

    。已經(jīng)表明,在許多應(yīng)用中,經(jīng)過適當(dāng)濾波開關(guān)轉(zhuǎn)換器可以取代線性穩(wěn)壓,以產(chǎn)生低噪聲電源。即使在那些需要極低噪聲
    的頭像 發(fā)表于 04-23 11:18 ?1250次閱讀
    設(shè)計(jì)用于<b class='flag-5'>開關(guān)電源</b>的第二級(jí)<b class='flag-5'>輸出</b><b class='flag-5'>濾波器</b>

    開關(guān)電源芯片的輸出濾波電容影響是什么

    組件之一。輸出濾波電容是開關(guān)電源芯片的一個(gè)重要元件之一,其具有很大的影響。 在電路中,輸出濾波電容也被稱為電感電容
    的頭像 發(fā)表于 08-18 11:14 ?1713次閱讀

    如何影響開關(guān)電源轉(zhuǎn)換器補(bǔ)償設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《如何影響開關(guān)電源轉(zhuǎn)換器補(bǔ)償設(shè)計(jì).pdf》資料免費(fèi)下載
    發(fā)表于 11-27 10:33 ?0次下載
    如何影響<b class='flag-5'>開關(guān)電源</b><b class='flag-5'>轉(zhuǎn)換器</b>的<b class='flag-5'>補(bǔ)償</b>設(shè)計(jì)

    開關(guān)電源濾波器設(shè)計(jì)與應(yīng)用

    信號(hào)會(huì)對(duì)其他電子設(shè)備產(chǎn)生不良影響。為了減小電磁干擾,開關(guān)電源需要配備濾波器。本文將對(duì)開關(guān)電源濾波器的設(shè)計(jì)與應(yīng)用進(jìn)行詳細(xì)介紹。 一、
    的頭像 發(fā)表于 12-30 14:42 ?1210次閱讀
    <b class='flag-5'>開關(guān)電源</b><b class='flag-5'>濾波器</b>設(shè)計(jì)與應(yīng)用

    濾波器開關(guān)電源中的應(yīng)用

    濾波器開關(guān)電源中的應(yīng)用 開關(guān)電源是一種將輸入電壓轉(zhuǎn)換為所需輸出電壓的電源,它通過快速
    的頭像 發(fā)表于 01-11 15:59 ?937次閱讀