0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

SwM2_ChinaAET ? 來(lái)源:網(wǎng)絡(luò)整理 ? 作者:工程師陳翠 ? 2018-10-05 09:54 ? 次閱讀

圖像邊緣檢測(cè)是數(shù)字圖像處理領(lǐng)域中的一項(xiàng)關(guān)鍵技術(shù)[1-3],廣泛運(yùn)用在軍事、農(nóng)業(yè)、工業(yè)、醫(yī)學(xué)、航天等領(lǐng)域[4-6]。隨著電子信息技術(shù)的快速發(fā)展,各大相關(guān)領(lǐng)域?qū)D像邊緣檢測(cè)技術(shù)提出更高的要求,即:在保證精度的前提下,即時(shí)處理大規(guī)模數(shù)據(jù)。

文獻(xiàn)[6]、[7]使用硬件并行技術(shù)和流水線技術(shù),大幅增加了數(shù)據(jù)的吞吐量,但在計(jì)算Sobel的梯度值時(shí)速度較慢,限制了系統(tǒng)的整體處理速度[6-7]。文獻(xiàn)[8]在文獻(xiàn)[6]、[7]的基礎(chǔ)上,解決了Sobel梯度值計(jì)算速度較慢的問(wèn)題,使系統(tǒng)的整體處理速度提升[6-8],但該方法犧牲了精度,導(dǎo)致邊緣檢測(cè)的效果較差。

針對(duì)上述問(wèn)題,本文采用優(yōu)化的CORDIC算法,將Sobel梯度計(jì)算公式轉(zhuǎn)換成數(shù)據(jù)的移位和相加的流水線操作,在保證運(yùn)算精確度的前提下,大幅提高整體運(yùn)算速度。

1 Sobel邊緣檢測(cè)算法

Sobel算子是一階導(dǎo)數(shù)的邊緣檢測(cè)算子,具有2組3×3的矩陣。圖像中的像素點(diǎn)分別和這兩個(gè)矩陣做卷積后,即可得到圖像的水平、垂直梯度。根據(jù)式(1)和式(2)得到圖像梯度值后,將該值和預(yù)設(shè)的閾值進(jìn)行比較,即可判斷該點(diǎn)是不是圖像的邊緣部分。

圖1(a)為待處理的圖像數(shù)據(jù),圖1(b)、圖1(c)為用于計(jì)算x和y方向梯度值的卷積表。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

水平梯度Px、垂直梯度Py的計(jì)算公式如式(1)所示:

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

式(1)可以利用兩個(gè)行緩沖器(Line_buffer)和流水線型的乘加器完成。如圖2所示,當(dāng)預(yù)存滿兩個(gè)行緩沖器后,再等2個(gè)時(shí)鐘,系統(tǒng)即可實(shí)時(shí)地得到待處理的圖像數(shù)據(jù)。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

如圖3所示,得到待處理的圖像數(shù)據(jù)后,P02和P22直接進(jìn)行加法運(yùn)算,P12通過(guò)移位操作實(shí)現(xiàn)乘2效果。為降低D觸發(fā)器(Reg)之間的邏輯時(shí)延,增加系統(tǒng)的工作頻率,本文將P02和P22相加的結(jié)果、P12移位的結(jié)果寄存了一拍后,再進(jìn)行加法運(yùn)算。依據(jù)上述原理,對(duì)P02、P20和P10進(jìn)行了類似操作。最后將兩組數(shù)據(jù)做減法,再取一個(gè)絕對(duì)值即可得到x方向的Sobel計(jì)算結(jié)果。y方向的Sobel計(jì)算方法如圖4所示,與圖3的原理類似。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

最終梯度值可以根據(jù)梯度計(jì)算公式算出:

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

依據(jù)式(2),即可得到最終梯度的模值|G|,將梯度的模值和閾值進(jìn)行比較,就可以判斷出該點(diǎn)是否為邊緣點(diǎn)。關(guān)于梯度模值計(jì)算公式,文獻(xiàn)[6]和文獻(xiàn)[7]選擇調(diào)用IP核實(shí)現(xiàn)平方根運(yùn)算,該方法在一定程度上保證了計(jì)算精度,但是運(yùn)算速度受限。文獻(xiàn)[8]為解決這個(gè)問(wèn)題,選擇將式(2)等效為|G|=|Px|+|Py|,較好地提高了運(yùn)算速度,但是運(yùn)算精度大幅度降低。

為解決上述問(wèn)題,本文選擇用優(yōu)化的流水線型的CORDIC算法,實(shí)現(xiàn)式(2)的運(yùn)算。該方法既保證了精度,又提高了運(yùn)算速度。

2 CORDIC算法原理

2.1 圓周系統(tǒng)下的CORDIC算法

為在保證運(yùn)算精度的前提下,提高Sobel 算法的即時(shí)處理速度和數(shù)據(jù)吞吐量,本文選擇使用CORDIC算法對(duì)其進(jìn)行優(yōu)化。CORDIC是將復(fù)雜的計(jì)算轉(zhuǎn)換成移位和加法的迭代操作。CORDIC算法有旋轉(zhuǎn)模式和向量模式。在不同的坐標(biāo)系下使用,可以實(shí)現(xiàn)不同的功能。因需要實(shí)現(xiàn)式(2),本文選用圓周坐標(biāo)系下的向量模式。

2.2 向量模式

向量模式下通過(guò)一系列的角度逼近,可以進(jìn)行反正切和平方根的計(jì)算。旋轉(zhuǎn)模式的完整迭代公式如式(3)所示。其中xi為當(dāng)前的橫坐標(biāo)值,yi為當(dāng)前的縱坐標(biāo)值,zi為當(dāng)前的角度累加值。其中yi控制著判決算子δi的值,yi的值為正時(shí),δi為負(fù);yi的值為負(fù)時(shí),δi為正。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

3 向量模式下CORDIC算法的優(yōu)化

為提高系統(tǒng)的總體性能,本文對(duì)CORDIC算法進(jìn)行了一定優(yōu)化,最終提高了CORDIC算法的精度和速度。

3.1 覆蓋角度的擴(kuò)展

如式(6)所示,CORDIC算法的旋轉(zhuǎn)角度有固定的規(guī)律,角度為2-i的反正切。當(dāng)?shù)螖?shù)趨于無(wú)窮時(shí),所有角度值之和約等于99.827°。由此可知,覆蓋角的度數(shù)為[-99.827°,99.827°],不能覆蓋圓周上的所有角度。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

考慮到只需求解式(2),輸入數(shù)據(jù)的符號(hào)變化不影響最終計(jì)算結(jié)果。因此在式(1)處,直接求取了|Px|、|Py|,通過(guò)該操作將所有數(shù)據(jù)計(jì)算限制在了第一象限。為減少迭代次數(shù),還可將輸入數(shù)據(jù)進(jìn)行進(jìn)一步的處理。將|Px|和|Py|進(jìn)行比較,如果|Py|大于|Px|,則將|Py|和|Px|的值互換;如果|Px|的值大于|Py|,則|Py|和|Px|的值保持不變。預(yù)處理后,數(shù)據(jù)的象限限制在[0°,45°]。因此可以減少一級(jí)迭代,收斂域也因此變?yōu)閇-57.827°,54.827°]。經(jīng)過(guò)上述處理后,式(5)變化成式(7)。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

3.2 數(shù)據(jù)位擴(kuò)展

CORDIC算法的迭代次數(shù)和運(yùn)算數(shù)據(jù)的位寬對(duì)運(yùn)算結(jié)果的精度有很大的影響。YU Y H在文獻(xiàn)[9]中提出了解決量化誤差(OQE)的方法。

YU Y H指出OQE由近似誤差和舍入誤差組成。近似誤差是由有限個(gè)確定旋轉(zhuǎn)角度量化CORDIC旋轉(zhuǎn)角度帶來(lái)的量化誤差,由最大向量模值和迭代次數(shù)決定。舍入誤差是因?yàn)橛?jì)算時(shí)數(shù)據(jù)位不夠帶來(lái)的誤差,由數(shù)據(jù)的位寬決定。

增加數(shù)據(jù)位寬和迭代的次數(shù)都可以提高運(yùn)算結(jié)果的精度。但是,當(dāng)?shù)螖?shù)達(dá)到一定值后,迭代次數(shù)的增加對(duì)運(yùn)算精度的影響變得很小。而增加運(yùn)算數(shù)據(jù)的位寬將帶來(lái)較好的效果,大幅度降低舍入誤差。每增加一位數(shù)據(jù)位寬,舍入誤差將變小1/2[9]。本文在用CORDIC算法實(shí)現(xiàn)式(2)時(shí),在保證較大的迭代次數(shù)的前提下,將運(yùn)算數(shù)據(jù)位擴(kuò)展3位,大幅度降低了舍入誤差。

在進(jìn)行數(shù)據(jù)迭代運(yùn)算時(shí),考慮到采用浮點(diǎn)數(shù)可以降低工作頻率,因此采用了定點(diǎn)數(shù)。如圖5所示,定點(diǎn)數(shù)由符號(hào)位(S)、整數(shù)位(I)、小數(shù)位(D)構(gòu)成。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

3.3 優(yōu)化后CORDIC算法的實(shí)現(xiàn)

圓周模式下的向量模式可以根據(jù)輸入的|Px|和|Py|,直接求解出最終梯度的模值。梯度模值運(yùn)算模塊的硬件結(jié)構(gòu)圖如圖6所示,由預(yù)處理、CORDIC迭代流水線、后級(jí)處理3部分組成。預(yù)處理部分中,將判斷|Px|和|Py|的值是否需要互換。因?yàn)榈螖?shù)已經(jīng)提前確定,縮放因子已知,在預(yù)處理階段的數(shù)值修正部分可以提前對(duì)最終結(jié)果進(jìn)行補(bǔ)償。補(bǔ)償后,將數(shù)據(jù)位數(shù)從24位擴(kuò)展成27位。擴(kuò)展后,舍入誤差將降低為之前的1/8,提高了運(yùn)算的精確度。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

預(yù)處理后進(jìn)入CORDIC迭代部分,迭代部分采用15級(jí)的流水線模式。根據(jù)式(3)可知,在求解式(2)時(shí)只需要知道|Px|和|Py|的值,因此可舍棄zi的計(jì)算,以此節(jié)約一些資源。如圖7所示,迭代部分的每行存在兩個(gè)移位寄存器和兩個(gè)加/減法器。符號(hào)控制信號(hào)為Sign,由yi決定。通過(guò)式(3)可知,當(dāng)yi為正時(shí),xi處選用加法器,yi處選用減法器;當(dāng)yi為負(fù)時(shí),xi處選用減法器,yi處選用加法器。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

數(shù)據(jù)通過(guò)迭代部分后,進(jìn)入后級(jí)處理部分。后級(jí)處理部分將信號(hào)緩存一拍后,進(jìn)行截位處理,然后就可得到x15[26:3]的值,即最終梯度的模值。此外,該設(shè)計(jì)采用了流水線結(jié)構(gòu),提高了吞吐量和最大工作頻率。

4 系統(tǒng)仿真及性能分析

本設(shè)計(jì)在ISE14.7軟件下,用Verilog HDL語(yǔ)言進(jìn)行了實(shí)現(xiàn)。此外,使用MATLAB、Modelsim SE 10.1c進(jìn)行了本設(shè)計(jì)的測(cè)試。

本文在Xilinx ISE編譯器中編譯好代碼后,通過(guò)Modelsim進(jìn)行了軟件仿真。圖8為本設(shè)計(jì)關(guān)鍵路徑的仿真:CORDIC迭代運(yùn)算的仿真。修正后的|Px|和|Py|采用定點(diǎn)數(shù)的方法進(jìn)行表示,總計(jì)24位,0~12位為小數(shù)位,13~22位為整數(shù)位,23位為符號(hào)位。|Px[26:0]|和|Py[26:0]|為|Px|、|Py|擴(kuò)展3位后的值,分別用x、y進(jìn)行表示。Kn為最終梯度模值的計(jì)算結(jié)果。為了更直觀地表示,輸入值、中間值和輸出結(jié)果均用有符號(hào)十進(jìn)制數(shù)進(jìn)行表示。根據(jù)仿真結(jié)果可知,采用優(yōu)化后的CORDIC進(jìn)行式(2)的運(yùn)算,精確度約為10-4,遠(yuǎn)大于文獻(xiàn)[8]的精確度。

仿真后,將文獻(xiàn)[8]的算法和本文的算法進(jìn)行了對(duì)比測(cè)試,結(jié)果如圖9所示。通過(guò)圖9(b)可以觀察到,使用文獻(xiàn)[8]的加速算法后,因?yàn)榫_度較低的緣故,不能較好地檢測(cè)到邊緣,人像左下方、右上方處的頭發(fā)邊緣和背景混雜在了一起,人像面部左下方、右上方的邊緣檢測(cè)效果較差。使用本文的改進(jìn)算法后,如圖9(c)所示,在保證運(yùn)算速度的前提下,較好地識(shí)別出了圖像的邊緣,較好地檢測(cè)出了頭發(fā)和面部的邊緣,與圖9(d)使用MATLAB實(shí)現(xiàn)Sobel算法的效果近似。

本文也嘗試使用Xilinx ISE自帶的平方根IP核實(shí)現(xiàn)關(guān)鍵路徑的計(jì)算。選用Spartan6 XC6SLX16 2CSG324C芯片在Xilinx ISE14.7軟件平臺(tái)下,對(duì)平方根IP核進(jìn)行編譯綜合。編譯綜合后,得到ISE計(jì)算出的最高工作頻率信息。為測(cè)試本文使用算法的性能,在同樣的條件下,對(duì)本文的算法也進(jìn)行了編譯,得到了最高工作頻率信息。最終結(jié)果如表1所示。采用IP核進(jìn)行關(guān)鍵路徑的計(jì)算,最高工作頻率為114.745 MHz。采用優(yōu)化后的CORDIC算法進(jìn)行關(guān)鍵路徑的計(jì)算,最高頻率為187.652 MHz。相比使用IP核的方法,采用優(yōu)化后的CORDIC算法實(shí)現(xiàn)關(guān)鍵路徑的計(jì)算可以提速63.53%。

基于CORDIC的高速Sobel算法實(shí)現(xiàn)

5 結(jié)論

本文在傳統(tǒng)Sobel加速算法的基礎(chǔ)上,在FPGA平臺(tái)上使用優(yōu)化的CORDIC算法實(shí)現(xiàn)了Sobel算法加速。通過(guò)圖9可知,該方法的邊緣檢測(cè)效果良好,較好地檢測(cè)出了圖像的邊緣。通過(guò)表1可知,該方法與調(diào)用IP核相比提高了百分之63.53%的工作頻率。實(shí)驗(yàn)結(jié)果表明,本設(shè)計(jì)進(jìn)一步提高了系統(tǒng)的運(yùn)算速度,適合在對(duì)速度有較高要求的系統(tǒng)中使用。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • CORDIC
    +關(guān)注

    關(guān)注

    0

    文章

    37

    瀏覽量

    19943
  • sobel
    +關(guān)注

    關(guān)注

    0

    文章

    12

    瀏覽量

    7883

原文標(biāo)題:【學(xué)術(shù)論文】基于CORDIC的高速Sobel算法實(shí)現(xiàn)

文章出處:【微信號(hào):ChinaAET,微信公眾號(hào):電子技術(shù)應(yīng)用ChinaAET】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于改進(jìn)的CORDIC算法的FFT復(fù)乘及其FPGA實(shí)現(xiàn)

    耗費(fèi)了FFT運(yùn)算中大量的乘法器資源。CORDIC算法只需簡(jiǎn)單的移位與加減運(yùn)算就能實(shí)現(xiàn)向量旋轉(zhuǎn),具有使用資源少、硬件規(guī)模小等優(yōu)勢(shì)。因此在FFT蝶形運(yùn)算中用其代替?zhèn)鹘y(tǒng)FFT運(yùn)算中的復(fù)數(shù)乘法器,可以獲得更好
    發(fā)表于 07-11 21:32

    CORDIC算法求助

    請(qǐng)問(wèn)CORDIC算法用verilog算法實(shí)現(xiàn)時(shí),角度累加器中的45度,26.56度,14.04度怎么跟verilog語(yǔ)言相對(duì)應(yīng)?
    發(fā)表于 07-11 20:18

    基于FPGA的邊緣檢測(cè)和Sobel算法

    轉(zhuǎn)帖摘要: 針對(duì)嵌入式軟件無(wú)法滿足數(shù)字圖像實(shí)時(shí)處理速度問(wèn)題,提出用硬件加速器的思想,通過(guò)FPGA實(shí)現(xiàn)Sobel邊緣檢測(cè)算法。通過(guò)乒乓操作、并行處理數(shù)據(jù)和流水線設(shè)計(jì),大大提高算法的處理速
    發(fā)表于 11-29 08:57

    FPGA設(shè)計(jì)中必須掌握的Cordic算法

    大多數(shù)工程師在碰到需要在 FPGA 中實(shí)現(xiàn)諸如正弦、余弦或開(kāi)平方這樣的數(shù)學(xué)函數(shù)時(shí),首先會(huì)想到的是用查找表,可能再結(jié)合線性內(nèi)插或者冪級(jí)數(shù)(如果有乘法器可用)。不過(guò)對(duì)這種工作來(lái)說(shuō),CORDIC 算法
    發(fā)表于 09-19 09:07

    怎么利用CORDIC算法在FPGA上實(shí)現(xiàn)高速自然對(duì)數(shù)變換器?

    本文利用CORDIC算法在FPGA上實(shí)現(xiàn)高速自然對(duì)數(shù)變換器。
    發(fā)表于 04-30 06:05

    高性能HPOR CORDIC算法實(shí)現(xiàn)

    CORDIC 算法在通信和圖像處理等各個(gè)領(lǐng)域有著廣泛的應(yīng)用,但是浮點(diǎn)CORDIC 由于迭代延時(shí)大且實(shí)現(xiàn)復(fù)雜沒(méi)有得到很好的應(yīng)用,本文提出了一種修正浮點(diǎn)
    發(fā)表于 12-15 14:27 ?15次下載

    基于CORDIC算法的NCO實(shí)現(xiàn)

    基于CORDIC 算法的NCO 實(shí)現(xiàn)田力, 馮琦(西安電子科技大學(xué) 電路設(shè)計(jì)研究所,陜西 西安 710071)摘要:NCO 在信號(hào)處理方面有著廣泛的應(yīng)用。而函數(shù)發(fā)生器是NCO 中的關(guān)鍵部分,
    發(fā)表于 12-15 14:30 ?23次下載

    基于CORDIC算法的載波同步鎖相環(huán)設(shè)計(jì)

    研究了一種利用CORDIC算法的矢量及旋轉(zhuǎn)模式對(duì)載波同步中相位偏移進(jìn)行估計(jì)并校正的方法。設(shè)計(jì)并實(shí)現(xiàn)了基于CORDIC算法的數(shù)字鎖相環(huán)。通過(guò)仿
    發(fā)表于 12-15 14:49 ?0次下載
    基于<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>的載波同步鎖相環(huán)設(shè)計(jì)

    雙模式CORDIC算法的FPGA實(shí)現(xiàn)

    CORDIC算法將復(fù)雜的算術(shù)運(yùn)算轉(zhuǎn)化為簡(jiǎn)單的加法和移位操作,然后逐次逼近結(jié)果。這種方法很好的兼顧了精度、速度和硬件復(fù)雜度,它與VLSI技術(shù)的結(jié)合對(duì)DSP算法的硬件實(shí)現(xiàn)具有極大的意義
    發(fā)表于 06-27 17:27 ?66次下載
    雙模式<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>的FPGA<b class='flag-5'>實(shí)現(xiàn)</b>

    基于CORDIC算法高速ODDFS電路設(shè)計(jì)

    為了滿足現(xiàn)代高速通信中頻率快速轉(zhuǎn)換的需求,基于坐標(biāo)旋轉(zhuǎn)數(shù)字計(jì)算(CORDIC,Coordinate Rotation Digital Computer)算法完成正交直接數(shù)字頻率合成(ODDFS,Orthogonal Direct
    發(fā)表于 02-22 16:26 ?34次下載
    基于<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>的<b class='flag-5'>高速</b>ODDFS電路設(shè)計(jì)

    cordic算法verilog實(shí)現(xiàn)(簡(jiǎn)單版)

    cordic算法verilog實(shí)現(xiàn)(簡(jiǎn)單版)(轉(zhuǎn)載)module cordic(clk, phi, cos, sin); parameter W = 13, W_Z = 14; in
    發(fā)表于 02-11 03:06 ?3225次閱讀
    <b class='flag-5'>cordic</b><b class='flag-5'>算法</b>verilog<b class='flag-5'>實(shí)現(xiàn)</b>(簡(jiǎn)單版)

    高速低功耗CORDIC算法的研究與實(shí)現(xiàn)

    針對(duì)傳統(tǒng)CORDIC算法流水線結(jié)構(gòu)的迭代次數(shù)過(guò)多,運(yùn)算速度不夠快,消耗硬件資源較多的缺點(diǎn),改進(jìn)了一種基于旋轉(zhuǎn)模式并行運(yùn)算的CORDIC算法。該算法
    發(fā)表于 11-16 10:46 ?14次下載
    <b class='flag-5'>高速</b>低功耗<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>的研究與<b class='flag-5'>實(shí)現(xiàn)</b>

    基于FPGA的Cordic算法實(shí)現(xiàn)的設(shè)計(jì)與驗(yàn)證

    本文是基于FPGA實(shí)現(xiàn)Cordic算法的設(shè)計(jì)與驗(yàn)證,使用Verilog HDL設(shè)計(jì),初步可實(shí)現(xiàn)正弦、余弦、反正切函數(shù)的實(shí)現(xiàn)。將復(fù)雜的運(yùn)算轉(zhuǎn)化
    發(fā)表于 07-03 10:18 ?2628次閱讀
    基于FPGA的<b class='flag-5'>Cordic</b><b class='flag-5'>算法</b><b class='flag-5'>實(shí)現(xiàn)</b>的設(shè)計(jì)與驗(yàn)證

    如何使用FPGA實(shí)現(xiàn)CORDIC算法在跟蹤環(huán)中的應(yīng)用

    主要介紹了坐標(biāo)旋轉(zhuǎn)數(shù)字計(jì)算(CORDIC算法在US,g,鑒別器中的應(yīng)用,包括碼跟蹤環(huán)、鎖頻環(huán)和鎖相環(huán)鑒別器,并進(jìn)行了FPGA實(shí)現(xiàn)。在設(shè)計(jì)中,采用統(tǒng)一cORDIc
    發(fā)表于 01-22 16:12 ?8次下載
    如何使用FPGA<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>在跟蹤環(huán)中的應(yīng)用

    使用Verilog HDL設(shè)計(jì)實(shí)現(xiàn)Cordic算法

    任何適合產(chǎn)品實(shí)現(xiàn)算法,都是將簡(jiǎn)易實(shí)現(xiàn)作為第一目標(biāo)。CORDIC算法是建立在適應(yīng)性濾波器、FFT、解調(diào)器等眾多應(yīng)用基礎(chǔ)上計(jì)算超越函數(shù)的方法。
    的頭像 發(fā)表于 08-16 11:21 ?2242次閱讀
    使用Verilog HDL設(shè)計(jì)<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>Cordic</b><b class='flag-5'>算法</b>