0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

ug1292深度解析

電子工程師 ? 來源:未知 ? 作者:李倩 ? 2018-10-12 11:19 ? 次閱讀

ug1292第一頁的主題是初始設(shè)計檢查。這一步是針對綜合后或者opt_design階段生成的dcp。盡管在Vivado下,從功能仿真到綜合、布局布線、直至生成.bit文件是相對自動化的流程,但是解決時序違例仍然是一個復(fù)雜且耗時的過程。僅僅靠log信息或者布線后的時序報告往往很難定位,這是因為實現(xiàn)過程中的每一步(opt_design邏輯優(yōu)化,place_design布局, phys_opt_design物理優(yōu)化, route_design布線)都會做一些優(yōu)化,這些優(yōu)化可能會導(dǎo)致關(guān)鍵路徑被掩蓋,例如,有時發(fā)現(xiàn)設(shè)計中邏輯級數(shù)(Logic Level)較高的路徑時序收斂了,反倒是邏輯級數(shù)較低甚至為0的路徑出現(xiàn)時序違例。因此,采取按部就班的策略,檢查每一步的結(jié)果,及時且盡早發(fā)現(xiàn)設(shè)計中的問題是很有必要的。

初始設(shè)計檢查流程如下圖所示。對象是綜合后或opt_design階段生成的dcp。會依次執(zhí)行三個命令(圖中紅色標(biāo)記),生成三個報告:FailFast報告、時序報告和UFDM(UltraFast Design Methodology)報告。

(圖片來源ug1292, page 1)

report_failfast的一個便利之處是可以給出各類資源利用率的上限,如下圖所示,這是Vivado自帶例子工程cpu的FailFast報告??梢钥吹?,對于LUT,利用率應(yīng)控制在70%以內(nèi);觸發(fā)器(FD)應(yīng)控制在50%以內(nèi);BlockRAMDSP48可以達(dá)到80%。在這個報告中尤其要關(guān)注Status為Review的條目,這是會給時序收斂帶來負(fù)面影響的,需要優(yōu)化的。對于設(shè)計中存在Pblock情形,report_failfast提供了-pblock選項,對于SSI器件,report_failfast提供了-slr和-by_slr(需要在place_design階段生成的dcp下使用)選項。這樣,可針對某個pblock或某個SLR進(jìn)行分析。

report_timing_summary可以生成時序報告,除了查看時序違例路徑之外,該報告還可顯示時序約束是否存在潛在問題。如下圖所示,Check Timing下包含12個條目,這個階段需要格外關(guān)注是否有未約束的時序路徑,是否有Timing loop,同時還要關(guān)注時鐘約束是否合理。

report_methodology可以生成UFDM報告。該命令不僅可以檢查RTL代碼存在的問題,例如Block RAM沒有使用內(nèi)部Embedded Registers,DSP48用做乘法器時沒有使能MREG等,還可以檢查時序約束存在的問題。如圖所示,要尤其關(guān)注其中的Bad Practice。

對于這三個報告中存在的問題,要盡可能地在綜合階段或者opt_design階段加以解決,最終確保這三個報告足夠“干凈”,即所有隱患都被消除。

此外,對于大規(guī)模的設(shè)計,可針對設(shè)計中的關(guān)鍵模塊使用上述三個命令,因為這些關(guān)鍵模塊很有可能成為時序收斂的瓶頸。為了使用這三個命令,可以對關(guān)鍵模塊采用OOC(Out-of-Context)的綜合方式或單獨創(chuàng)建Vivado工程以便生成相應(yīng)的dcp。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 自動化
    +關(guān)注

    關(guān)注

    28

    文章

    5438

    瀏覽量

    78912
  • 乘法器
    +關(guān)注

    關(guān)注

    8

    文章

    204

    瀏覽量

    36905

原文標(biāo)題:深度解析ug1292(1)

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    I2C通信設(shè)計深度解析

    I2C通信設(shè)計深度解析
    發(fā)表于 08-12 21:31

    AUTOSAR架構(gòu)深度解析 精選資料推薦

    AUTOSAR架構(gòu)深度解析本文轉(zhuǎn)載于:AUTOSAR架構(gòu)深度解析目錄AUTOSAR架構(gòu)深度解析A
    發(fā)表于 07-28 07:40

    AUTOSAR架構(gòu)深度解析 精選資料分享

    AUTOSAR架構(gòu)深度解析本文轉(zhuǎn)載于:AUTOSAR架構(gòu)深度解析AUTOSAR的分層式設(shè)計,用于支持完整的軟件和硬件模塊的獨立性(Independence),中間RTE(Runtime
    發(fā)表于 07-28 07:02

    C語言深度解析

    C語言深度解析,本資料來源于網(wǎng)絡(luò),對C語言的學(xué)習(xí)有很大的幫助,有著較為深刻的解析,可能會對讀者有一定的幫助。
    發(fā)表于 09-28 07:00

    LM1292 pdf,LM1292 datasheet

    LM1292Video PLL System for Continuous-Sync MonitorsGeneral DescriptionThe LM1292 is a very low
    發(fā)表于 09-17 00:36 ?25次下載

    ug1292時序收斂快速參考手冊

    這個手冊與ug949的理念是一致的即”盡可能地把所有問題放在設(shè)計初期解決“。寧可在設(shè)計初期花費更多的時間,也不要等到布局布線后才開始發(fā)現(xiàn)問題再解決問題。因為,在設(shè)計后期,往往會面臨牽一發(fā)而動全身的被動局面。即使一個小的改動都有可能花費很多的時間和精力甚至造成返工。
    的頭像 發(fā)表于 10-09 10:52 ?3341次閱讀
    <b class='flag-5'>ug1292</b>時序收斂快速參考手冊

    深度解析ug1292建立時間違例分析流程

    上述四個數(shù)值,無論是在timing report(通過report_timing_summary生成)還是design analysis report(通過report_design_analysis生成)中都有所體現(xiàn)。以timingreport為例,如下圖所示,可清晰地顯示上述四個數(shù)值。
    的頭像 發(fā)表于 10-19 10:32 ?5450次閱讀

    淺談ug1292中的降低邏輯延遲的解決方案

    我們需要關(guān)注兩類路徑。一類路徑是由純粹的CLB中的資源(FF,LUT,Carry,MUXF)構(gòu)成的路徑;另一類則是Block(DSP,BRAM,URAM,GT)之間的路徑。
    的頭像 發(fā)表于 10-27 08:43 ?7065次閱讀
    淺談<b class='flag-5'>ug1292</b>中的降低邏輯延遲的解決方案

    UG1292使用之初始設(shè)計檢查使用說明

    UG1292第一頁是針對綜合后或者opt_design階段的使用說明。vivado的基本流程有5步(其實不同策略可以更多,具體使用方法和好處以后講),分別是synth_design
    的頭像 發(fā)表于 10-30 10:25 ?3334次閱讀
    <b class='flag-5'>UG1292</b>使用之初始設(shè)計檢查使用說明

    深度解析ug1292:降低布線延遲

    當(dāng)整體資源利用率達(dá)到70%~80%時(對于多die芯片,這個數(shù)值是指每個SLR的資源利用率),需要砍掉一些模塊以降低資源利用率。尤其要避免LUT/BRAM/DSP/URAM利用率同時出現(xiàn)大于80%的情形。如果BRAM/DSP/URAM這些Block利用率無法降低,那么要確保LUT利用率低于60%。
    的頭像 發(fā)表于 10-30 10:47 ?4382次閱讀

    DC1292A-設(shè)計文件

    DC1292A-設(shè)計文件
    發(fā)表于 04-12 10:10 ?1次下載
    DC<b class='flag-5'>1292</b>A-設(shè)計文件

    DC1292A-模式

    DC1292A-模式
    發(fā)表于 04-17 18:16 ?0次下載
    DC<b class='flag-5'>1292</b>A-模式

    DC1292A DC1292A評估板

    電子發(fā)燒友網(wǎng)為你提供ADI(ti)DC1292A相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊,更有DC1292A的引腳圖、接線圖、封裝手冊、中文資料、英文資料,DC1292A真值表,DC1292A管腳等資料
    發(fā)表于 09-03 19:00

    AD1292R芯片手冊

    ADS1291、ADS1292 和 ADS1292R 是多通道同步采樣 24 位 Δ-Σ 模數(shù)轉(zhuǎn)換器 (ADC),它們具有內(nèi)置的可編程增益放大器 (PGA)、內(nèi)部基準(zhǔn)和板載振蕩器。ADS1291
    發(fā)表于 04-07 17:58 ?5次下載

    UltraFast設(shè)計方法時序收斂快捷參考指南(UG1292)

    電子發(fā)燒友網(wǎng)站提供《UltraFast設(shè)計方法時序收斂快捷參考指南(UG1292).pdf》資料免費下載
    發(fā)表于 09-15 10:38 ?0次下載
    UltraFast設(shè)計方法時序收斂快捷參考指南(<b class='flag-5'>UG1292</b>)